CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - fpga lcd

搜索资源列表

  1. 1602VHDL

    0下载:
  2. 是FPGA开发板中1602液晶使用的一些实例,包括1602的多屏显示、显示汉字、时钟。-FPGA development board are some examples of the use of the LCD 1602, including 1602 s multi-screen display, the display of Chinese characters, the clock.
  3. 所属分类:Other systems

    • 发布日期:2017-05-13
    • 文件大小:2948548
    • 提供者:li
  1. VHDL

    0下载:
  2. FPGA开发板使用的一些实例,包括乐曲演奏器、1602液晶的多屏使用、液晶显示汉字、时钟。-Some examples of the use of FPGA development board, including the music player, the use of multi-screen LCD 1602, LCD characters, clock.
  3. 所属分类:Other systems

    • 发布日期:2017-05-17
    • 文件大小:4242651
    • 提供者:li
  1. LCD1602

    0下载:
  2. 基于altera cyclone 的EP2Q208C8 FPGA的1602液晶显示模块,其中包括驱动模块和测试模块,驱动模块可以作为通用模块,给其他文件调用-Altera cyclone display module is based on the 1602 LCD EP2Q208C8 FPGA, including drive module and test module, drive module can be used as general-purpose modules to other
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1371393
    • 提供者:yangxianfeng
  1. tft_lcd

    0下载:
  2. 7segment, tft-Lcd vhdl code with fpga Kit quartus program
  3. 所属分类:ELanguage

    • 发布日期:2017-04-13
    • 文件大小:2387
    • 提供者:ahn sein
  1. lcd12864m2

    0下载:
  2. FPGA控制LCD12864液晶显示屏,通过液晶显示4个汉字,并可以实时更新-FPGA control LCD12864 LCD LCD by four characters, and can be updated in real time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2168
    • 提供者:lixing
  1. 12_lcd_spi

    0下载:
  2. 用于FPGA开发板的LCD显示实验源码包,欢迎大家下载交流,有不周之处还望批评指点!-For FPGA development board LCD display experiment source package, welcome to download the exchange, there are ill also look criticism pointing!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3745510
    • 提供者:李嘉琪
  1. DSODDS2014

    0下载:
  2. 单片机的lcd屏幕显示 和键盘控制(fpga)-SCM lcd screen display and keyboard control (fpga)
  3. 所属分类:SCM

    • 发布日期:2017-05-03
    • 文件大小:702590
    • 提供者:王旺
  1. uartlcd

    0下载:
  2. 通过FPGA的VHDL程序实现对1602液晶的控制,此模块可以作为IP核直接调用-By FPGA VHDL program to achieve the 1602 LCD control module can be called directly as an IP core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1014670
    • 提供者:刘涛
  1. vending_machine

    0下载:
  2. 基于FPGA开发板NEXYS3的自动售货机,并利用VGA原理显示在LCD屏幕,采用键盘进行购买和支付-Based on the FPGA development board NEXYS3 vending machine, and use the principle of VGA display on the LCD screen, using the keyboard to purchase and payment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:17964877
    • 提供者:黄志宇
  1. vga

    0下载:
  2. fpga开发板通过VGA接口控制液晶屏显示程序,可用于广告牌等-fpga development board via VGA interface control LCD display program that can be used billboards
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:17961372
    • 提供者:王小二
  1. Temperature

    0下载:
  2. FPGA 用Verilog语言时序实现与DS18B20温度传感器读写,并把温度通过LCD来显示-FPGA with Verilog language implementation and timing DS18B20 temperature sensors to read and write, and the temperature displayed by LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2569
    • 提供者:罗永伙
  1. FinalLCD

    0下载:
  2. VHDL for LCD interfacing with Spartan 3E FPGA board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:329380
    • 提供者:thapaji
  1. lcd1602_test

    0下载:
  2. FPGA 实验:在液晶1602第一行显示Welcome to FPGA,第二行显示0-9的数字循环,并设置有复位键。学会了1602液晶每行显示的设计,理解1602液晶的具体结构,此程序基于Quartus的编程环境,采用Veilog语言编写。-FPGA experiment: the first line of LCD second show to FPGA Welcome, the 1602 line shows the digital cycle of 0-9, and set the res
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1602484
    • 提供者:丁明凯
  1. uart_test2

    0下载:
  2. 本人收集大部分fpga的基础参考例程,包括各种接口技术如I2C,RS232,UART,spi,PS/2等,还有驱动各种LCD如1602,NOKIA5110,FPGA超声波测距,FPGA控制ADS7825,ADS7844,ADS2807,THS1206,TLC2543,TLC5510A,MAX1312-is verele samdlksakklf fdsadfmks f sfsl fs a
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-03
    • 文件大小:650581
    • 提供者:汤锐烽
  1. ZX_SOPC0

    1下载:
  2. 基于FPGA的DDS信号源设计 1.输出信号为正弦波、三角波及脉冲 2.信号幅度可调,范围:1V~5V 3.调幅步长:10mV 4.信号频率为低频:10HZ~1MHZ 5.频率调节步长10HZ~100HZ频段为1HZ,100HZ~1kHZ频段为10HZ,1KHZ~1MHZ频段为100HZ 6.频率调节方式通过键盘输入 7.运用LCD显示信号的类型、幅度、调频步长、调幅步长-DDS source FPGA-based design 1. The output sig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9057368
    • 提供者:陈勒
  1. uart_lcd_display_XUP

    0下载:
  2. Uart串口通信程序,PC机向FPGA的串口发送数据,FPGA的串口收到数据后回传到PC机,同时显示在lcd屏。-Uart serial communication program: The serial port of PC sends data to the FPGA. After the serial port of FPGA receives the data, FPGA sends the received data back to the PC, simultaneously dis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1104941
    • 提供者:queen
  1. boxingfashengqi

    1下载:
  2. 这是基于单片机与FPGA系统设的多功能波形发生器的单片机控制程序。主要实现了频率的选择、波形类型、输出模式、以及向FPGA发生频率信息与LCD显示等功能。-This is based on single-chip control procedures MCU and FPGA system design versatile waveform generator. The main achievement of frequency selection, waveform, output mode,
  3. 所属分类:SCM

    • 发布日期:2017-04-30
    • 文件大小:45015
    • 提供者:张良
  1. Lcd_800_480

    0下载:
  2. 基于DE2-70开发板的FPGA和NIOS系统设计的LCD(800-480)液晶显示控制系统的程序设计。-DE2-70 FPGA-based development board and the NIOS system design LCD (800-480) LCD control system programming.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:18913373
    • 提供者:张良
  1. i2c_lightsensor

    1下载:
  2. 用Verilog HDL编写的光敏传感器AD/DA程序,AD结果显示在LCD上,DA结果控制LED的亮度,相关软件:ISE Design suit,硬件:xilinx FPGA开发板-Verilog HDL prepared with light sensors AD/DA program, AD results are displayed on LCD, DA of controlling LED brightness, software: ISE Design suit, hardware:
  3. 所属分类:Other systems

    • 发布日期:2017-03-04
    • 文件大小:759808
    • 提供者:renyini
  1. LcdCtrl

    0下载:
  2. FPGA控制12864液晶屏,16位总线实现数据及指令发送,配合SPI模块可控制SPI型液晶屏,程序中包含液晶的初始化指令,实际使用过-FPGA control 12864 LCD screen, 16-bit bus for transmitting data and instructions, with the SPI module can control SPI LCD screen, LCD initialization instruction program contains, act
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2262
    • 提供者:wanglei
« 1 2 ... 17 18 19 20 21 2223 24 »
搜珍网 www.dssz.com