CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - fpga aes

搜索资源列表

  1. RIJNDAEL_DE_TOP

    0下载:
  2. AES解密运算模块,运算速率100Mbps,请大家参考-AES decryption computing module, computing speed 100Mbps, please refer to
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-16
    • 文件大小:19822
    • 提供者:刘文庆
  1. systemcaes_latest.tar

    0下载:
  2. 高级加密标准aes加密算法用fpga实现的Verilog源代码。-Advanced encryption standard aes encryption algorithm using fpga implementation Verilog source code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-28
    • 文件大小:84295
    • 提供者:lxc
  1. comp1

    0下载:
  2. 实现了加密狗的功能,完成此功能用的硬件描述语言,verilog hdl 在各方面是最好的,欢迎下载。-fpga aes
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-15
    • 文件大小:3812707
    • 提供者:hanping
  1. FPGA_128_AES_decryption

    0下载:
  2. 以FPGA具體實現的128-bit AES decryption,包括介紹文件以及源碼。-FPGA-based 128-bit AES decryption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17012778
    • 提供者:Vlog
  1. AES-algorithm-design

    0下载:
  2. 基于FPGA的AES算法芯片设计实现,文中具体给出了测试的运行时间等数据-AES algorithm for FPGA-based chip design to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:122222
    • 提供者:menshuang
  1. 09912007AEScoremodules

    0下载:
  2. aes descr iption architecture processes vhdl code with pipelining and throughput reduction with an aim to create a faster AES decoding system in FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6568
    • 提供者:tarang
  1. aes_core.tar

    0下载:
  2. 基于FPGA平台的256为AES加密IP核-FPGA-based platform for the AES encryption IP core 256
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:133140
    • 提供者:weipingzhang
  1. AES-sopc--ip

    0下载:
  2. 在FPGA上实现了AES,并写了基于AVALON总线的接口,主要使用是VHdL实现,并在SOPC系统上定制了IP核。-FPGA to realize the AES, and write the AVALON based on the bus interface, the main use is VHdL implementation, and the SOPC system in custom made IP core.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:473036
    • 提供者:rjt
  1. AES

    0下载:
  2. Pipelined Implementation of AES Encryption Based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:87278
    • 提供者:rivercreamss
  1. aes-encryption

    0下载:
  2. 为实现AES加密设计的高速实现,本设计引进了一种AES的并行设计算法,整体结构和加密进程,基于FPGA本身的特征和算法,设计使用并行处理算法来实现并行处理进程。-To implement the design of the AES algorithm with a high speed, the thesis introduce the principia mathematica of AES algorithm, integral structure and the Encryption pr
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:5886
    • 提供者:许飞
  1. AES-based-on-FPGA-jiami

    1下载:
  2. 该模块是基于FPGA的AES加密算法实现的Verilog代码,包含一个顶层文件和两个调用模块,最高误差在15ns-This module is the AES encryption algorithm FPGA based on the Verilog code, contains a top-level files and two call module, the maximum error in 15ns
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-07
    • 文件大小:14939549
    • 提供者:庄德坤
  1. AES-based-on-FPGA-jiemi

    0下载:
  2. 基于FPGA的AES算法实现,使用verilog语言实现。本模块只包含解密过程,没有加密过程。-Implementation of AES algorithm based on FPGA, using Verilog language. This module contains only the decryption process, no encryption process.
  3. 所属分类:Other systems

    • 发布日期:2017-11-10
    • 文件大小:13487104
    • 提供者:庄德坤
  1. AES

    0下载:
  2. AES算法加解密过程的Verilog代码,包括测试文件,通过FPGA验证。-AES algorithm encryption and decryption process Verilog code, including test files through the FPGA verification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:16633
    • 提供者:郑雪松
  1. FPGA-IMPLEMENTATION-OF-AN-AES-PROCESSOR

    0下载:
  2. Advanced Encryption Standard(AES) implementing in a faster and secured way is expected. AES can be implemented in software/hardware. In hardware implementation ASIC solution requires high cost and much design time while FPGA based implementation
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-16
    • 文件大小:218267
    • 提供者:arif
  1. AES-on-FPGA

    0下载:
  2. AES算法在FPGA上的实现,对AES算法所用的器件资源进行了总结-AES on FPGA the Fastest to the Smallest
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-27
    • 文件大小:409167
    • 提供者:jason
  1. AES-pipelined-architecture

    0下载:
  2. AES算法,采用FPGA实现,重点描述了流水线设计,使用才方法使加解密具有很高的吞吐率-An AES crypto chip using a high-speed parallel pipelined architecture
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-26
    • 文件大小:356678
    • 提供者:wucaidai
  1. AES

    0下载:
  2. aes源码verilog带有仿真环境,可用于FPGA实现-aes verilog rtl
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-25
    • 文件大小:216419
    • 提供者:zhaop
  1. A-compact-AES-core-with-on-line-error-detection-f

    0下载:
  2. This paper presents a compact, low-cost, on-line error-detection architecture for a 32-bit hardware implementation of the AES. The implemented AES is specially designed for FPGA-based embedded applications, since it is tuned to specific FPGA logi
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:940078
    • 提供者:ANU MOHAN
  1. ALTERA

    0下载:
  2. we are in this file about altera fpga xilinx communication syaterm toolbox for design and system requirements
  3. 所属分类:其他

    • 发布日期:2017-12-22
    • 文件大小:324608
    • 提供者:ghorbanii
  1. aes256

    2下载:
  2. 基于FPGA的AES256位加密,根据AES128位加密进行改编的,还存在一些问题需改善。(AES256 encryption based on FPGA,according to AES128 bit encryption.There are still some problems to be improved.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:19456
    • 提供者:Echo000
« 1 23 »
搜珍网 www.dssz.com