CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - h.3

搜索资源列表

  1. Elevator_schdeuler

    0下载:
  2. 本程序旨在对电梯实际运行过程的模拟,并尽力贴近真实情况。 本程序的使用者须在命令行模式中输入指令,其中:按下1、2、3、4、5、6、7、8、9键表示电梯内有乘客按相应目标楼层按钮;按下Q、W、E、R、T、Y、U、I键表示1层到8层有上行呼叫请求;按下A、S、D、F、G、H、J、K键表示2层到9层有下行呼叫请求,按下0键表示不再在当前层等待立即开始运行。 输入指令后,在图形界面会有与之相对应的响应,告诉用户所输入的指令已被程序所接受。此后,在电梯运行过程中,在图形界面会有对电梯当前楼层、运
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-03
    • 文件大小:862896
    • 提供者:aptiva0985
  1. ColorPicker

    0下载:
  2. ColorPicker是一个基于对话框的应用程序,它具有以下功能: 1. 色彩编辑功能 用户可以通过可以调整R、G、B的值来编辑颜色,亦可通过调整H、S、V的值来选取颜色。颜色编辑的结果会马上反馈到颜色面板和颜色预览框中。 2.RGB颜色空间和HSV颜色空间的转换 当改变RGB值,会得到相应的HSV值,并进行显示,反之亦然。 3.取色功能 取色功能包含“面板取色”和“屏幕取色”。面板取色就是用户可以在颜色面板中单击鼠标左键,选取目标点所表示的某种颜色。屏幕取色则是允许用户
  3. 所属分类:Special Effects

    • 发布日期:2017-04-16
    • 文件大小:195288
    • 提供者:tan
  1. digital-clock

    1下载:
  2. 数字钟是计时仪器,它的功能大家都很熟悉。本实验对设计的电子钟要求为: 1.能够对s(秒)、min(分)和h(小时)进行计时,每日按24h计时制; 2.min和h位能够调整; 3.设计要求使用自顶向下的设计方法。 数字钟的功能实际上是对s信号计数。实验板上可提供2Hz的时钟,二分频后可产生s时钟。数字钟结构上可分为两个部分c计数器和显示器。计数器又可分为s计数器、min计数器和h计数器。s计数器和min计数器由6进制和10进制计数器构成,小时计数器较复杂,需要设计一个24(或12)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:11771
    • 提供者:hanbaoshuai
  1. LED

    0下载:
  2. LED点阵实验(流动显示1 2 3 4 5 6 7 8 9 C D E I L H ) -LED dot matrix experiments (flow visualization 1 2 3 4 5 6 7 8 9 CDEILH)
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:7689
    • 提供者:李德忠
  1. 111

    0下载:
  2. 5. 定义一个函数long invers(long x),x为一个6位整数,函数功能是取x的高3位数(赋给h)和低3位各位数字(可从高位到低位依次存入变量a、b和c),将a、b和c逆序组合为一个整数t,计算h+t并将结果返回。-5. Define a function long invers (long x), x is a 6-bit integer, the function function is to take the high x 3 digits (assigned to h) an
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:3128
    • 提供者:崔小白
  1. Matlab_Antenna

    0下载:
  2. Plotting The Patterns Of Some Types Of Antennas Using Matlab in the form of graphical user interface (GUI). This project aims at plotting the E-Pattern And The H-Pattern and sometimes the 3-D Pattern Of some types of Antennas such as dipole, mono
  3. 所属分类:matlab

  1. hhhhy

    0下载:
  2. 1. 实现循环双链表各种基本运算的算法,完成如下功能: (1) 初始化循环双链表h; (2) 依次采用尾插法插入a, b, c, d, e元素; (3) 输出循环双链表h; (4) 输出循环双链表h长度; (5) 判断循环双链表h是否为空; (6) 输出循环双链表h的第3个元素; (7) 输出元素a的位置; (8) 在第4个元素位置上插入f元素; (9) 输出循环双链表h; (10) 删除h的第3个元素; (11) 输出循环双链表h; (12) 释
  3. 所属分类:Data structs

    • 发布日期:2017-03-30
    • 文件大小:266557
    • 提供者:赖洋洋
  1. quiverc

    0下载:
  2. 在这个更新的代码,新功能,包括colorbar,samesize包括向量plottting... %更新版本$日期:5月18日,2011年由梁枯盎斯蒂文斯技术学院 %更新1:更改int8到Int16的colormap的是,当电流超过64个大,这将导致错误 %更新2:加入 samesize 为使所有的向量samesize %更新3:添加colorbar相应的开方的幅度(ü^2+ V键^ 2) %更新4:错误修复,当你为h = quiverc,这是行不通的。 ----
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:4239
    • 提供者:zzskzcau
  1. bashuma-

    0下载:
  2. 人工智能八数码问题实现八数码问题:在3×3的方格棋盘上,摆放着1到8这八个数码,有1个方格是 空的,其初始状态如图1所示,要求对空格执行空格左移、空格右移、空格上移 和空格下移这四个操作使得棋盘从初始状态到目标状态。 -#include <stdio.h>//定义变量 #include <stdlib.h>//定义变量 typedef struct s_node//定义创建节点 { struct s_node*father /
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-08
    • 文件大小:281773
    • 提供者:林莹莹
  1. EDID_Timing_Extension_Version_3

    0下载:
  2. EDID EXT Version 3,80H-FFH-EDID EXT Version 3,80 H-FFH
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:125421
    • 提供者:white
  1. modified-stfd_esprit

    0下载:
  2. 提 出了基于修正空间 时频分布( S TF D) 矩阵 的 ES P RI T算法 以实现 对宽 带线性调 频信号 的到达 角估计-Th e a l g or i t h m f o r di r e c t i o n- o f- a r r i va l o f t he wi d e ba n d c hi r p s i gna l s ba s e d 0 1 3 .ESPRI T u s i n g t he mo di f i
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-24
    • 文件大小:145254
    • 提供者:fjp119
  1. calculator

    0下载:
  2. 设计简易交互式计算器,功能如下: (1) 计算器功能与Windows操作系统带的标准型的计算器系统相同。至少有+、-、*、/、开方、取倒数、清零、backspace的功能,可以对小数进行运算。 (2) 程序可以实现简单的没有括号的表达式计算, (3) 不使用math.h头文件,求开方运算时使用多项式拟合方式。 -Design simple interactive calculator, function as follows: (1) calculator function a
  3. 所属分类:Algorithm

    • 发布日期:2017-05-11
    • 文件大小:2713937
    • 提供者:onway
  1. eyesegmentation

    0下载:
  2. 程序可实现人眼虹膜、眼睑及睫毛分割,主要功能有:(1)虹膜内外圆的霍夫圆检测;(2)眼睑检测以及参数方程的求解;(3)睫毛的最优化阈值处理。 vc文件夹下包含以下四个内容:(1)最后编译生成的.exe文件;(2)包含主要算法的.h头文件;(3)两幅原始图像(yangjing1.bmp,yanjing2.bmp);(4)两幅经过MATLAB进行自适应直方图均衡化的图像(yanjing11.bmp,yanjing22.bmp)-Program can achieve human iris, ey
  3. 所属分类:Graph Recognize

    • 发布日期:2017-03-31
    • 文件大小:154661
    • 提供者:郭卉
  1. vdieo

    0下载:
  2. 社区视频监控系统主要实现以下功能:  视频监控。  云台控制。  快照和录像。  录像回放。  定时自动监控。  监控管理及日志。 (1)本系统默认操作员用户名为:tsoft,密码为:111。 (2)可执行文件路径:\01\源程序\Debug\Capture.exe (3)本系统使用的硬件是天敏VC4000监控卡,其中使用了MPG4c32.dll、MediaTran
  3. 所属分类:Video Capture

    • 发布日期:2017-05-10
    • 文件大小:2354165
    • 提供者:周欣
  1. PhoneRecord

    1下载:
  2. 企业电话语音录音管理系统(1)本系统默认操作员用户名为:tsoft,密码为:111。 (2)可执行文件位置:\03\PhoneRecord\Debug\PhoneRecord.exe (3)本系统使用的是东进D系列电话语音卡,使用前需要安装DJDBDK V3.4.0驱动程序,安装驱动后还要在程序根目录中添加NewSig.h、NewSig.lib、djcvt.h、djcvt.lib、Tc08a32.h和Tc08a32.lib等6个文件。 -Business telephone voic
  3. 所属分类:Audio program

    • 发布日期:2016-01-25
    • 文件大小:3894272
    • 提供者:周欣
  1. 51chip_interface_C_program

    0下载:
  2. 自己制作的板子(附图片),51单片机最小系统控制,可以调试的接口程序包括: 1、4x4按键+数码管.c 2、按键控制无源蜂鸣器鸣叫.c 3、直流电机正反转.c 4、max232_PC通信.c 5、液晶12864(包括yejing.c、head.h、_12864.h) 板子虽小,功能俱全。是常用的接口程序,希望分享给大家。-Board is small, functional and taste. Is a common interface program, I ho
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:243820
    • 提供者:candice
  1. source

    0下载:
  2. 本视频监控系统主要实现了云台控制、快照和录像、录像回放、定时自动监控、监控管理及日志。本系统默认操作员用户名为:tsoft,密码为:111。 (2)可执行文件路径:\Debug\Capture.exe (3)本系统使用的硬件是天敏VC4000监控卡,其中使用了MPG4c32.dll、MediaTransmit.dll、MediaTransmit.lib、MediaTransmit.h、Sa7134Capture.dll、Sa7134Capture.lib和Sa7134Capture.h几
  3. 所属分类:Console

    • 发布日期:2017-05-03
    • 文件大小:1420268
    • 提供者:asd
  1. lab501-FIR

    0下载:
  2. fir滤波程序 #include "DSP2833x_Device.h" // DSP2833x Headerfile Include File #include "DSP2833x_Examples.h" // DSP2833x Examples Include File //#include "f2812a.h" #include"math.h" #define FIRNUMBER 25 #define SIGNAL1F 1000 #define SIGNA
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:94589
    • 提供者:海豚
  1. bank

    0下载:
  2. 银行信息管理 3个.h文件和一个.main文件-Bank Information Management 3. H file and a. Main file
  3. 所属分类:Finance-Stock software system

    • 发布日期:2017-05-19
    • 文件大小:5447559
    • 提供者:hutingting
  1. cipandiaodu

    0下载:
  2. 1、对于如下给定的一组磁盘访问进行调度: 请求服务到达 A B C D E F G H I J K 访问的磁道号 30 50 100 180 20 90 150 70 80 10 160 2、要求分别采用先来先服务、最短寻道优先以及电梯调度方法进行调度。 3、要求给出每种算法中磁盘访问的顺序,计算出平均移动道数。 4、假定当前读写头在90号,向磁道号增加的方向移动。-1, for a given set of as disk access scheduling: Reques
  3. 所属分类:Process-Thread

    • 发布日期:2017-05-06
    • 文件大小:1220277
    • 提供者:刘莹
« 1 2 ... 27 28 29 30 31 3233 34 35 36 37 ... 49 »
搜珍网 www.dssz.com