CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - keyscan

搜索资源列表

  1. keyscan

    0下载:
  2. 矩阵键盘按键扫描模块程序,可直接调用里面的子函数,得到相应的功能-fale to change
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1322
    • 提供者:
  1. keyscan

    0下载:
  2. Library of Keyboard component for sc2440
  3. 所属分类:Linux驱动

    • 发布日期:2017-03-30
    • 文件大小:989
    • 提供者:Nilson
  1. KeyScan-WithShiftKey

    0下载:
  2. 1.实现带shift键的按键扫描。 2.当多个键按下时(不包括shift键时),认为无效按键。 3.当单个键按下时,在延时去抖后发送该键的键码。 4.当shift键先按下,然后有复用功能的键被按下,在延时去抖发送该复用功能键键码-1 to achieve the key with the shift key scan. (2) When more than one key pressed (not including the shift key), that the inval
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:150108
    • 提供者:吴樊
  1. keyscan

    0下载:
  2. 16为键盘扫描加显示的源代码程序及其解释说明-16 plus display program for keyboard scanning
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:1444
    • 提供者:王宁
  1. keyscan

    0下载:
  2. 一种新型的键盘扫描程序,仅用三行代码,完成键盘扫描,给你的绝对是震撼!-A new keyboard scanner, only three lines of code to complete the keyboard scan is a shock to you absolutely!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:10995
    • 提供者:常永超
  1. keyscan

    0下载:
  2. 按下相应按键显示0~F不同的数~~~按下相应按键显示0~F不同的数-Pressing the corresponding button to display 0 ~ F the number of
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1351
    • 提供者:文杰
  1. KeyScan_8Line_64KEY

    0下载:
  2. 1-8IO口,扫描(1-64键)IO口数量可任意改娈 但程序不用改-keyScan 1-8Line Scan 1-64Key
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:50232
    • 提供者:覃才双
  1. DS1302-12864

    0下载:
  2. 液晶12864 显示时钟 温度 按键设置时钟-12864 keyscan
  3. 所属分类:CSharp

    • 发布日期:2017-03-30
    • 文件大小:71959
    • 提供者:徐子涵
  1. display

    0下载:
  2. at90s52单片机 键盘扫描 数码管显示-keyscan and numerating display
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1183
    • 提供者:
  1. keyscan

    0下载:
  2. 实验板上电时,数码管不显示,顺序按下矩阵键盘后,在数码管上依次显示0~F,6个数码管同时静态显示即可。-Experiments on-board power, the digital tube does not display, order press matrix keyboard, turn on the digital tube display 0 ~ F, 6 digital control static display can be.
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:1074
    • 提供者:dong
  1. key_test

    0下载:
  2. 51单片机4*4矩阵键盘测试程序,函数keyscan()返回0-15数值,方便实用-4*4 matrix-keys test C8051
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:19957
    • 提供者:张嘉男
  1. keyscan

    0下载:
  2. 对单片机板载的矩阵键盘进行行列扫描,并把结果显示在数码管上-Ranks scan matrix keyboard microcontroller onboard, and the results displayed on the digital tube
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1647
    • 提供者:Alexx
  1. keyscan

    0下载:
  2. 51矩阵键盘程序,本程序采用普中开发板测试,请修改相关I/O口-51 matrix keyboard program, the program uses the S & P to develop board test, to amend the relevant I/O port
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:29790
    • 提供者:张艺林
  1. key

    0下载:
  2. C code for one kind of usefull KEYscan -C code for one kind of usefull KEYscan .....
  3. 所属分类:source in ebook

    • 发布日期:2017-04-10
    • 文件大小:857
    • 提供者:cheng
  1. keyscanverilog

    0下载:
  2. 特权同学基于EPM240的入门实验的键盘程序-keyscan verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:196269
    • 提供者:陈伟
  1. keyscan

    0下载:
  2. 4*4矩阵键盘扫描 请不要重复上传同一源码,-4* 4 matrix keyboard scanning Do not duplicate upload the same source, in order to open the account owners after passing the examination. If you do not want to upload the source code, you can become a VIP member] access to the
  3. 所属分类:SCM

    • 发布日期:2017-11-26
    • 文件大小:2911
    • 提供者:
  1. ADC

    0下载:
  2. stm32驱动4X4键盘扫描,用于键盘扫描-/******************************************************************************* * File Name : KeyScan * Descr iption : Serial print out the corresponding key value *************************************************
  3. 所属分类:source in ebook

    • 发布日期:2017-11-10
    • 文件大小:1446
    • 提供者:henry
  1. KeyScan

    0下载:
  2. stm32f103rbt6驱动的4X4键盘扫描-Stm32f103rbt6 drive 4 x4 keyboard scan
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-24
    • 文件大小:2682900
    • 提供者:henry
  1. Dummy_Project

    0下载:
  2. keyscan routine for the keypad connection (m16c)
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-20
    • 文件大小:137445
    • 提供者:syed
  1. keyscan

    0下载:
  2. 本程序是用VHDL实现的键盘扫描程序,已调试通过的,大家可以下载作为参考。-This program is a keyboard scanner using VHDL debugging through, you can download as a reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:268069
    • 提供者:工程师
« 1 2 3 4 5 6 78 9 »
搜珍网 www.dssz.com