CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - quartus

搜索资源列表

  1. altera_lcd_controller

    0下载:
  2. quartus II-sopc builder avalon总线LCD控制IPCORE-quartus II-sopc builder avalon Bus LCD controller IP CORE
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:26888
    • 提供者:张建
  1. VHDL_Memory_Library_Code

    0下载:
  2. 通用存储器VHDL代码库,The Free IP Project VHDL Free-FIFO, Quartus standard library. -generic VHDL code for memory, The Free Project VHDL IP Free-FIFO, Quartus standard library.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23722
    • 提供者:Jawen
  1. CrackQII60

    0下载:
  2. quartus6.0+nios2 6.0的License,将hostid改为你自己的网卡号即可使用quartus和nios6.0的全部功能-quartus6.0 nios2 6.0 License, hostid to read your own card can be used quartus, and the full functionality of nios6.0
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:5439
    • 提供者:hrui
  1. Crack_QII60_b178

    0下载:
  2. Quartus II 6.0完全Crack文件-Quartus II 6.0 document completely Crack
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:6319
    • 提供者:江纵海
  1. multi8x8

    0下载:
  2. 节约资源型 8位*8位 运算VHDL代码,采用串行运算,8 个时钟周期完成一次运算。QUARTUS下已验证-resource conservation-8 * 8 Operational VHDL code, using serial computation. 8 clock cycles to complete an operation. QUARTUS has been under test
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2362
    • 提供者:曾庆立
  1. 11223344scan_led1000

    0下载:
  2. Quartus环境下的1000进制计数器的扫描显示电路-Quartus environment under the 1000 counter-band scanning display circuit
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:209538
    • 提供者:吴语
  1. 23565785scan_led

    0下载:
  2. Quartus环境下的7段扫描显示电路的源程序-Quartus environment of the seven scanning display circuit of the source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:128002
    • 提供者:吴语
  1. 55478362cntshow

    0下载:
  2. Quartus环境下的12进制计数器的扫描显示电路-Quartus environment of the 12 counter-band scanning display circuit
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:139611
    • 提供者:吴语
  1. 123424475SINGT

    0下载:
  2. Quartus环境下的正选信号发生器的实验源码-Quartus environment is the election of signal generator FOSS
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:997085
    • 提供者:吴语
  1. 234352325DECL7S

    0下载:
  2. Quartus环境下的7段译码管的扫描显示电路-Quartus environment of the seven decoding of the scan show circuit
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:111710
    • 提供者:吴语
  1. ddsquartus

    0下载:
  2. 使用QUARTUS 2编译的DDS的源码-QUARTUS use two compiled the DDS source
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:533669
    • 提供者:
  1. ASYfifo

    0下载:
  2. 这是FIFO程序,开发工具是ISE或QUartus。-procedures, development tools or QUartus ISE.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1304
    • 提供者:黄德勇
  1. fir_finall

    0下载:
  2. 用verilog编写的fir滤波器程序,开发环境可以用ise quartus或active hdl等-verilog prepared with the fir filter process development environment can be used ise quartus or other active hdl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1233
    • 提供者:刘东
  1. jicifenpinqi

    0下载:
  2. 别人编写的奇次分频器,用VHDL写的,我已经在QUARTUS上验证过了-others prepared by the odd dividers, VHDL write, I have QUARTUS tested the
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:28937
    • 提供者:yuxyoo
  1. nios_uart

    0下载:
  2. 基于Nios II的串口通信,在quartus的开发环境中进行的实验-based Nios II Serial Communication in quartus development environment for the conduct of the experiment
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:9630888
    • 提供者:孙彤
  1. 38encode

    0下载:
  2. 三八译码器的源代码,在quartus II 6.0中进行进行设计的,有vhdl源代码-March 8 decoder source code, in quartus II 6.0 for the design, Source code is vhdl
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:152173
    • 提供者:孙彤
  1. SVGA_quartus

    0下载:
  2. 在开发板上实现svga条形信号发生器的源代码,是在quartus II 6.0的开发环境中运行的-achieved in the development of board svga strip signal generator source code, in quartus II 6.0 development environment running on
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:214855
    • 提供者:孙彤
  1. 656to601

    0下载:
  2. 本程序实现视频图象的CCIR656转换CCIR601格式,使用的环境是Quartus II 4.0-the program CCIR656 video image conversion CCIR601 format, The environment is the use of Quartus II 4.0
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:564659
    • 提供者:吉克
  1. lcd4quartus

    0下载:
  2. 128×64单色点阵LCD的quartus工程文件-128 x 64 monochrome dot-matrix LCD quartus works documents
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:704187
    • 提供者:HYP
  1. 3des-VHDL

    0下载:
  2. 3des的VHDL实现,适用于quartus环境-3des VHDL applicable to the environment quartus
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:95551
    • 提供者:xin
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 50 »
搜珍网 www.dssz.com