CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - seven segment

搜索资源列表

  1. EZ-USB HID Keyboard

    0下载:
  2. This Program uses the 2131 dev board s push buttons and seven-segment LED for limited keyboard functionality and is based on the EZ-USB firmware frameworks.
  3. 所属分类:USB编程

    • 发布日期:2008-10-13
    • 文件大小:34392
    • 提供者:蔡建
  1. S3Demo

    0下载:
  2. Spartan 3 Digilent Demo:This demo drives the perphrials on the Spartan 3 board. This drives a simple pattern to the VGA port, connects the switches to the LEDs, buttons to each anode of the seven segment decoder. The seven segment decoder has a simpl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:731568
    • 提供者:Roy Hsu
  1. vb

    0下载:
  2. A digital fi‘equeney meter designed with FPGA development software Q-~us 11 is introduced.The 1 Hz—l MHz input measured pulse signals of the digital ii‘equency meter can be used for measuring frequency,period,pulse width and duty ratio,etc.The test r
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:192493
    • 提供者:胥海勇
  1. timectrl_src

    0下载:
  2. Seven Segment LCD Control
  3. 所属分类:Static控件

    • 发布日期:2008-10-13
    • 文件大小:88421
    • 提供者:王国胜
  1. 采用I2C的接法将单片机内的数据存到24C02

    0下载:
  2. 采用I2C的接法将单片机内的数据存到24C02,之后在读出显示在LED七段数码管上。-The use of the I2C connection to the microcontroller' s data memory to the 24C02, read out after the seven-segment LED display on the LED.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-03-23
    • 文件大小:1266
    • 提供者:小熊
  1. 8962_OLED 在LabView环境下控制LM3S8962开发板

    0下载:
  2. 在LabView环境下控制LM3S8962开发板上的OLED显示正弦波和三角波,同时有GPIO口输出的,控制片外的直流电机和步进电机,还有6路七段数码管。-LM3S8962 in LabView environment to control the development board OLED display sine wave and triangular wave, while the output of the GPIO port to control the chip of the DC
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2017-03-23
    • 文件大小:101527
    • 提供者:sangpeng
  1. lmYEaNdR.rar

    0下载:
  2. 1:判断闰年程序 2:产生随机数并计算程序 3:计算平台长度程序 4:加减乘除运算 5:数字方阵程序 6:数据加密程序 7:代码转换程序 8:键盘录入数据的转换与显示 9:音乐演奏 10:显示色彩矩形块 11、12:字符图形程序 13:图形变换 14、15:动画 16:打字练习 17:打字计时练习 18:系统时间显示 19:显示日期时间 20:七段电子表显示 21:简易文本编辑器 22:磁盘文件读写 23:成绩管理 24、25:pc机通信 26:钟表显
  3. 所属分类:assembly language

    • 发布日期:2017-03-28
    • 文件大小:247405
    • 提供者:Tony
  1. procedures89C51.rar

    0下载:
  2. 该电路由AT89C51单片机,CD4511*3为BCD-七段锁存/译码/驱动器,LED共阴数码管,磁敏霍尔元件组成。 ,The circuit from the AT89C51 single-chip, CD4511* 3 for the BCD-Seven-Segment Latch/Decoder/Driver, LED digital tube were negative, magnetic components敏霍尔.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:4459
    • 提供者:ykyong918
  1. gsadfgwadfgasgd

    0下载:
  2. 一、 uboot是ppcboot和armboot合并而成,现在主流的bootloader为uboot和redboot 二、 bootm addr_kernel addr_initrd 三、 移植uboot时最好(一定)要找到一个自己板子的原形(即自己的板子是在这个板子上做一些修改而来的)的版本,这样就可以事半功倍。这样要修改的地方就比较少,也比较容易了。uboot支持很多平台,与一个具体平台相关的主要有三个地方: 1、./include/configs/xxxxx.h, 主要定义了f
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-01
    • 文件大小:21419
    • 提供者:smallwei
  1. digital_7

    0下载:
  2. Verilog七段数码管显示控制程序,已经在实验板上测试通过。-Verilog seven-segment LED display control program, the board has been tested in the experiment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:445232
    • 提供者:吴平
  1. seg7_lut_8_0.rar

    0下载:
  2. 七段阴极数码管的FPGA控制程序,开发平台为ISE或者quartus,Seven-Segment LED cathode the FPGA control procedures, development platform for the ISE or Quartus
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-02
    • 文件大小:1165
    • 提供者:邓贞宙
  1. shumaguan.rar

    0下载:
  2. 七段数码管显示程序,用Verilog语言编写,程序运行完全没有问题。,Seven-Segment LED display program, with the Verilog language, the program is running is no problem.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:415523
    • 提供者:韩瑞
  1. Medidor

    0下载:
  2. Simple program that shows how to represent data numbers in 3 seven segment display using 10 pins.
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:178283
    • 提供者:krlostorres
  1. 10fenpingqi

    0下载:
  2. 1、分别用IF语句和CASE语句设设计一个10分频器。 2、设计一个24进制加法计数器。 3、设计一个有使能端控制的4位减法计数器。 4、用case语句设计一个3-8译码电路 5、用CASE语句设计一个共阳极的七段译码电路。 6、已知输入信号为6MHZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 7、已知输入信号为9HZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 -1, respectively, with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1832
    • 提供者:fox
  1. DSP2812_CAN

    0下载:
  2. 两片DSP2812完成简单的CAN通讯功能,并通过七段数码管的显示,内容为发送实验箱传送的数据。-DSP2812 completed two simple CAN communication function, and through Seven-Segment LED display, the content for sending me the experimental transmission of data.
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:185360
    • 提供者:冯哲
  1. MFC_Time

    1下载:
  2. MFC下写的一个模拟七段数码管显示时间的程序-MFC write under a simulated seven-segment digital display time-consuming procedures
  3. 所属分类:Windows Develop

    • 发布日期:2015-08-25
    • 文件大小:112916
    • 提供者:
  1. CLOCK

    1下载:
  2. 文通过ALTERA公司的quartus II软件,用Verilog HDL语言完成多功能数字钟的设计。主要完成的功能为:计时功能,24小时制计时显示;通过七段数码管动态显示时间;校时设置功能,可分别设置时、分、秒;跑表的启动、停止 、保持显示和清除。-Through the ALTERA company quartus II software, using Verilog HDL language to complete the design of multi-function digital
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:182531
    • 提供者:张保平
  1. in-seven

    0下载:
  2. 七段顯示器是用來顯示數字的常用電子元件,在很多地方都可以看到它的身影,例如電子時鐘、溫度顯示器和各種儀表 七段顯示器分成共陽極和共陰極兩種,不管是哪一種,內部構造都是由 8 個 LED 發光二極體所組成,其中七個是筆劃,另外一個是小數點,如下圖所示,依順時針方向分別為 a, b, c, d, e, f, g 以及小數點 dp (decimal point)(Raspberry Pi A seven-segment display (SSD), or seven-segment indicator
  3. 所属分类:其他

    • 发布日期:2017-12-18
    • 文件大小:1024
    • 提供者:cheddar
  1. SevSeg-master

    0下载:
  2. library to operate seven segments display
  3. 所属分类:其他

    • 发布日期:2017-12-23
    • 文件大小:13312
    • 提供者:astho
  1. Simulation of 7-segment display using LabVIEW

    0下载:
  2. LABVIEW PROGRAM FOR SEVEN SEGMENT DISPLAY
  3. 所属分类:其他

    • 发布日期:2018-01-08
    • 文件大小:11264
    • 提供者:dar
« 1 2 3 45 6 7 8 9 10 ... 35 »
搜珍网 www.dssz.com