CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - seven segment

搜索资源列表

  1. plsled7seg

    0下载:
  2. TPLSLED7Seg is a Delphi Visual Component representing a Seven Segment LED digit, used in digital clocks, counters and a host of other electronic equipment.
  3. 所属分类:Delphi VCL

    • 发布日期:2017-03-25
    • 文件大小:174446
    • 提供者:anung
  1. Dev_io

    0下载:
  2. 基于CYPRESS CY7C68013 usb2.0的开发程序,该程序演示了访问IO的编写方法。-This directory contains the dev_io 8051 firmware The purpose of this software is to demonstrate how to use the buttons and LED on the EZ-USB developer s kit. The device I/O example progr
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:17880
    • 提供者:Willson Yang
  1. bcd_decode

    0下载:
  2. BCD 译码器,将8421BCD码转换成七段共阴A~G-Decoder BCD to Seven-Segment 8421BCD code into a total of Yin A ~ G
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:794784
    • 提供者:祁才君
  1. ex_seven_segment

    0下载:
  2. seven segment control for atmega128
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:29887
    • 提供者:Chang-Jin
  1. LED

    0下载:
  2. LED七段码数据生成,有共阴共阳区分,方便使用。-Seven-Segment LED code data generation, there were a total of yin-yang distinction, user-friendly.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:322183
    • 提供者:zhongbin
  1. qiduan

    0下载:
  2. 用vhdl语言实现按键操控多个七段码控制-Vhdl language with control buttons to control a number of Seven-Segment Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:142131
    • 提供者:邢旭
  1. Sevseg_only

    0下载:
  2. Three seven-segment count-down & rollback on 8051 in C
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-05
    • 文件大小:34696
    • 提供者:aman
  1. DECODE4_7

    1下载:
  2. BCD码到七段数码管的显示程序,已成功综合,仿真通过-BCD code to the Seven-Segment LED display procedures have been successfully integrated, simulation through
  3. 所属分类:source in ebook

    • 发布日期:2017-04-16
    • 文件大小:111458
    • 提供者:chendongkui
  1. VHDLCODE

    0下载:
  2. VHDL的一些典型源代码,有七段数码管译码器,格雷码转换为二进制码,八位数字比较器等等。-Typical VHDL source code, there are Seven-Segment LED Decoder, Gray code is converted to binary code, the eight figures and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:160598
    • 提供者:李军
  1. PicoBlaze_Embedded

    0下载:
  2. xilinx Picoblaze的例子,再PBus总线上挂接了按键模块、VGA输出模块和一个七段数码管显示模块-xilinx Picoblaze example, re-articulated bus PBus the key modules, VGA output module and a Seven-Segment LED display module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1403719
    • 提供者:曹晶
  1. led8+7segmn_n

    0下载:
  2. Source code for led and seven segment
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:859
    • 提供者:Bhuvan
  1. arm7shuma

    0下载:
  2. arm7数码管驱动程序,可以实现linux环境下的七段数码管的驱动-arm7 nixie tube driver, can be achieved under the linux environment, driven by seven-segment digital tube
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-30
    • 文件大小:1545
    • 提供者:buzhidao
  1. LEDyinjiaotu

    0下载:
  2. 《七段数码管引脚图》 数码管使用条件: a、段及小数点上加限流电阻 b、使用电压:段:根据发光颜色决定; 小数点:根据发光颜色决定 c、使用电流:静态:总电流 80mA(每段 10mA);动态:平均电流 4-5mA 峰值电流 100mA -" Seven-Segment Digital control pin map" the conditions governing the use of digital: a, section and add a deci
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:150812
    • 提供者:duke
  1. show_numbers

    0下载:
  2. 在八位七段数码显示管上显示8位学号,要显示的学号可以在程序内改。-In the eight seven-segment digital display tube display 8 Student ID, Student ID to be displayed can be changed within the program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:243031
    • 提供者:lzj
  1. qiduanmaxianshi

    0下载:
  2. 七段码显示源码,C8051F020应用程序-Seven-Segment display source code, C8051F020 Application
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:29457
    • 提供者:刘刘
  1. sn7448

    0下载:
  2. verilog实现的“BCD/七段译码器”。-verilog implementation " BCD/Seven-Segment Decoder."
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:567
    • 提供者:王先生
  1. shumaguan

    0下载:
  2. fpga下的七段数码管显示 大 学 实 验 报 告-fpga under the seven-segment digital tube experiment reports that the University
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:225174
    • 提供者:tom
  1. verilog

    1下载:
  2. 通过I2C接口读写EEPROM 在本项目中,我们利用Verilog HDL实现了部分I2C总线功能,并能够通过该总线对AT24C02进行读写操作。为了便于观察读写eeprom的结果,我们将读写的数据同时显示在七段数码管上,并设定读写的数据从0到255不断循环,这样就可以方便进行比较。 -Through the I2C interface to read and write EEPROM in this project, we use Verilog HDL to achieve some o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:8651
    • 提供者:andy
  1. 7Seg_D_OCX

    0下载:
  2. This Archive Contains Source Code for Seven Segment OCX in a visual basic project. this OCX could be used in other windows programming language
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-04-01
    • 文件大小:46563
    • 提供者:Reza
  1. SevenSegNum

    0下载:
  2. VC源码, 七段数码管控件,VC的,可以-VC source code, seven-segment digital tube control, VC, and can try
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-17
    • 文件大小:25114
    • 提供者:Michael Liao
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 35 »
搜珍网 www.dssz.com