CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - shift register QUARTUS

搜索资源列表

  1. shifter

    0下载:
  2. 用vhdl实现双向移位寄存器 仿真环境MAXPLUS-II,QUARTUS--bidirectional use VHDL simulation environment shift register Segments-II, QUARTUS-
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:150033
    • 提供者:dm
  1. par_serial-and-serial_par-VHDL

    0下载:
  2. 并入串出移位寄存器和8路并行输出串行移位寄存器的VHDL代码,经Quartus II 5.1验证可用,String into a shift register and 8-way parallel output serial shift register of the VHDL code, the Quartus II 5.1 can be used to verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1006
    • 提供者:随风
  1. unishift

    0下载:
  2. An universal shift register performs the following tasks load, right shift ,left shift and parallel load as the selection inputs are 00,01,10,11 respectively. Such a register is implemented here in Quartus.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1393
    • 提供者:sidd
  1. shift8

    0下载:
  2. 用VHDL语言在QUARTUS环境下开发,功能是并串转换移位寄存器-Using VHDL language QUARTUS development environment, and the string conversion function is the shift register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:239867
    • 提供者:李欣
  1. Shiftregister

    0下载:
  2. A simple realisation code of a shift register written on VHDL in Quartus II for Cyclone II. The programm can store or shift the input data to left or right depending on which mode is chosen.Can be useful for the students.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:158357
    • 提供者:Dave
  1. shft_reg

    0下载:
  2. 移位寄存器的VHDL语言实现,quartus 和 modelsim 仿真-Shift register VHDL language quartus and modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:4372202
    • 提供者:金浩强
搜珍网 www.dssz.com