CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - verilog IEEE

搜索资源列表

  1. IEEE Std 1364.1-2002 IEEE Std. 1364.1 - 2002 IEEE

    0下载:
  2. IEEE Std 1364.1-2002 IEEE Std. 1364.1 - 2002 IEEE Standard for Verilog Register Transfer Level Synthesis.rar
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:380828
    • 提供者:王刚
  1. IEEE_Verilog_2001

    0下载:
  2. 原版IEEE verilog/VHDL 2001标准。-IEEE verilog/VHDL 2001
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2181886
    • 提供者:zmm
  1. iir_par_code

    0下载:
  2. IIR code. IEEE STD 1364-1995 Verilog file: iir_par.v.
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1071
    • 提供者:无名
  1. IEEE_standard_Verilog_HDL1364_2001

    0下载:
  2. IEEE standard Verilog HDL1364-2001.pdf Verilog 学习必备资料-IEEE standard Verilog HDL1364-2001.pdfVerilog learning essential information
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-05-10
    • 文件大小:2175215
    • 提供者:洪磊
  1. FPGAREAL

    0下载:
  2. 信号处理FPGA实现参考,IEEE transaction 的一篇文章。主要针对信号处理中加窗、FFT、VSLI快速实现中误差地等问题。-FPGA realization of a reference signal processing, IEEE transaction of an article. Mainly for signal processing windowing, FFT, VSLI rapid error problems.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:308886
    • 提供者:卓智海
  1. Verilog

    0下载:
  2. 很不错的Verilog 书籍 ,包括ieee标准和黄金指南-Very good Verilog books, including ieee standards and Gold Guide
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-01
    • 文件大小:3395471
    • 提供者:haiwaw
  1. alu_Verilog

    0下载:
  2. It is the code for implementing the project titled "The Reconfigurable Instruction Cell Array(IEEE 2008)".
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5202
    • 提供者:masth
  1. 2

    0下载:
  2. RFID系统的IEEE的文章,安全协议,认证- In this paper, we first propose a cryptographic authentication protocol which meets the privacy protection for tag bearers, and then a digital Codec for RFID tag is designed based on the protocol. The protocol w
  3. 所属分类:RFID

    • 发布日期:2017-04-07
    • 文件大小:233086
    • 提供者:fxy
  1. fpu100_latest.tar

    1下载:
  2. 这是一个32位的浮点运算单元(FPU),它可以根据IEEE754标准被完全编译。此FPU已被硬件测试和被软件仿真通过。-This is a 32-bit floating point unit (FPU),It can do arithmetic operations on floating point numbers. The FPU complies fully with the IEEE 754 Standard. The FPU was tested and simulated in h
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-12-20
    • 文件大小:1981120
    • 提供者:赵恒
  1. iverilog-0.9.2

    0下载:
  2. iverilog是verilog仿真综合工具,能够将verilog源代码编译为不同的目标文件-Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code writen in Verilog (IEEE-1364) into some target format
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2016-01-24
    • 文件大小:1477441
    • 提供者:fanyuchuan
  1. ofdm

    0下载:
  2. ofdm调制解调的fpga实现。使用Verilog实现IEEE 802.16a系统的调制解调模块。-ofdm modulation and demodulation of fpga implementation. Verilog implementation using IEEE 802.16a system, modem module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1752
    • 提供者:张维
  1. Floating-Point-Adder

    1下载:
  2. 浮点数加法器IP核的vhd设计。浮点数加法运算是运输中使用最高的运算,结合vhdl和EPGA可编程技术,完成具有5线级流水线结构、符合IEEE 754浮点标准、可参数化为单、双精度的浮点数加法器。-Floating point adder design IP core vhd. Floating-point addition operation is used in most transport operations, combined with vhdl and EPGA programmab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:154913
    • 提供者:凌音
  1. IEEE.Standard.Verilog.Hardware.Description.Languag

    0下载:
  2. IEEE Standard Verilog Hardware Descr iption Language-IEEE Standard Verilog Hardware Descr iption Language(
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2177879
    • 提供者:liukai
  1. emiraga-ieee754-verilog-b7a63aa

    0下载:
  2. IEEE 754 floating point
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:17017
    • 提供者:Joe
  1. Introduction-to-Verilog

    0下载:
  2. Introduced in 1984 by Gateway Design Automation n 1989 Cadence purchased Gateway (Verilog-XL simulator) n 1990 Cadence released Verilog to the public n Open Verilog International (OVI) was formed to control the language specifications. n
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:191830
    • 提供者:zhujizhen
  1. IEEE-standard-Verilog-HDL1364-2001

    0下载:
  2. verilog 硬件描述语言 golden版-verilog hardware descr iptor language golden version
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2185909
    • 提供者:willow
  1. verilog-RTLevel-Synthesis

    0下载:
  2. 本章详细的分析了寄存器传输级综合,ieee最新标准-IEEE Standard for Verilog® Register Transfer Level Synthesis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:380586
    • 提供者:王凯
  1. Perl_for_CRC

    0下载:
  2. Cyclic Redundancy Check (CRC) is an error-checking code that is widely used in data communication systems and other serial data transmission systems. CRC is based on polynomial manipulations using modulo arithmetic. Some of the common Cyclic Redu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:89718
    • 提供者:尤恺元
  1. IEEE Standard for Verilog 2005

    0下载:
  2. IEEE Standard for Verilog 2005
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:3137536
    • 提供者:zking
  1. IEEE Standard for Verilog 2005

    0下载:
  2. this book introduces the use of Verilog HDL.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:3137536
    • 提供者:^U^
« 12 »
搜珍网 www.dssz.com