CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl流水灯

搜索资源列表

  1. 流水灯VHDL程序

    0下载:
  2. 流水灯的VHDL原程序,以4种模式LED显示.-wasted lights VHDL program, in the four-mode LED display.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1004
    • 提供者:韦元龙
  1. vhdl

    0下载:
  2. VHDL 的一个流水灯程序 开发平台Quartusii 使用的延时方法为分频思想
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:1006
    • 提供者:hehe
  1. 8-led-VHDL

    0下载:
  2. 8位流水灯程序设计,跑马灯效果显示,里面是VHDL程序。-8 water lamps program design, which is a VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:109883
    • 提供者:luo
  1. liushun

    0下载:
  2. 流水灯和跑马灯的程序 已经编译 可以用 是quartus的开发环境-Marquee lights and running water has been the procedure can be used to compile the development environment is quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:149779
    • 提供者:杨兴华
  1. led

    0下载:
  2. 适用于FPGA初学者,一个流水灯的程序,用VERILOG语言写的.-Applicable to FPGA beginners, a procedure for light water, using the Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:218067
    • 提供者:
  1. VHDL

    0下载:
  2. 数码管显示,温度传感,红外感应,流水灯蜂鸣器,PS2,RS232的相关VHDL程序,已经在MAX-IIEPM570开发板上测试成功-Digital display, temperature sensor, infrared sensor, water lights buzzer, PS2, RS232 relevant VHDL procedures have been developed at MAX-IIEPM570 the success of on-board test
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:8861
    • 提供者:刘运学
  1. xunhuandeng

    0下载:
  2. 在spartan-3e上利用八个led实现流水灯效果-Spartan-3e in the use of eight led lights to achieve the effect of flowing water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4929
    • 提供者:唐江洲
  1. liushuideng

    0下载:
  2. 流水灯程序,1.在CH-3实验平台上通过LED0~LED7八位LED发光二极管实现流水灯显示,流水效果为LED灯依次亮起,第二个灯亮时第一个熄灭2.用按键切换产生2种流水效果-Process water lights, 1. CH-3 in the experimental platform LED0 ~ LED7 through eight light-emitting diode LED lights show the achievement of water, running water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1768941
    • 提供者:赵剑平
  1. vhdl

    0下载:
  2. vhdl跑马灯 适合初学者同学...流水灯的制作-vhdl Marquee for beginner students to the production of light water ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:521
    • 提供者:gaomengchun
  1. light

    0下载:
  2. 流水灯程序 VHDL语言编写,muxplus环境-procedure of moving light
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:55402
    • 提供者:rory
  1. led_water

    0下载:
  2. Altera FPGA流水灯工程文件Verilog语言代码,作为入门级的参考程序-Altera FPGA Verilog flow light project files language code, as the entry-level reference program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:234462
    • 提供者:kiling
  1. vhdl

    0下载:
  2. 用vhdl实现的抢答器程序。正弦波,锯齿波,三角波发生器程序。基于pwm技术的数码流水灯程序。计数器程序。-Responder with vhdl implementation process. Sine wave, sawtooth wave, triangle wave generator program. Pwm technology based on digital light process flow. Counter program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5956
    • 提供者:杨雨
  1. VHDL

    0下载:
  2. EDA VHDL初学者适合的学习程序,里面有八位流水灯程序和其他的程序共大家参考学习!-EDA VHDL beginners suitable learning processes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:508142
    • 提供者:吴彬
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. led_sport

    0下载:
  2. vhdl流水灯程序,spartan-3e 简单应用-vhdl light water program, spartan-3e simple application
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:450338
    • 提供者:邱献斌
  1. lsd

    0下载:
  2. VHDL流水灯测试通过,对初学者非常好的帮助。-The VHDL light water testing by the very good help for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:260876
    • 提供者:hsj
  1. light1

    0下载:
  2. 基于VHDL的流水灯程序,端口基于EP2C38系列的FPGA片子(Based on VHDL flow lamp program, port is based on EP2C38 series FPGA film)
  3. 所属分类:其他

    • 发布日期:2017-12-20
    • 文件大小:553984
    • 提供者:UtopiaSnail
  1. UAET_323_to_flow_led

    0下载:
  2. VHDL 实现串口收发并点亮流水灯,仿真成功(VHDL realizes serial port transceiver and lighting water lamp)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:790528
    • 提供者:yu我所欲
  1. light__water

    0下载:
  2. 基于fpga的vhdl流水灯程序。总共六个灯。连续点亮(water_led program base on vhdl)
  3. 所属分类:通讯编程

    • 发布日期:2018-04-22
    • 文件大小:358400
    • 提供者:Mr.zeal
  1. vhdl流水灯

    0下载:
  2. quartus编写的vhdl流水灯程序,包括六种模式循环播放
  3. 所属分类:VHDL编程

« 12 3 4 5 »
搜珍网 www.dssz.com