CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl 原理图 设计

搜索资源列表

  1. The.design.of.the.voting.machine

    0下载:
  2. 表决器的设计 设计一个三人的表决器,其中有二人以上同意则投票通过。演示结合实验箱上A区、J区的LED及按键。工作过程如下:带锁的按键按下时,按键上的灯亮表示投票同意;按键松开时,灯熄灭表示投票反对;SW1-SW3这三个按键是3人的投票键,L1灯亮表示投票通过,且蜂鸣器响;L1灯熄灭表示投票未通过,且蜂鸣器不响。利用原理图和VHDL编程相结合的方法来实现-The design of the voting machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:35734
    • 提供者:duopk
  1. FPGA

    1下载:
  2. FPGA交通灯说明: 1. 本程序使用VHDL加原理图方式设计而成。 2. 实验时,使用Quartus II软件完成了工程管理与下载验证,使用max+plus II软件进行了功能仿真。 3. 由于实验当时对原理图文件缺乏足够的认识,导致原原理图以及仿真输出文件已经丢失。现在的工程 RTL视图以及仿真输出波形均是在Quartus II软件下得到的。-FPGA traffic lights shows:1procedures for the use of the VHDL sch
  3. 所属分类:VHDL编程

    • 发布日期:2018-04-18
    • 文件大小:455885
    • 提供者:WangQunfeng
  1. CPLD-Three-voting

    1下载:
  2. CPLD/FPGA 设计实例手册 用VHDL语言设计三人表决器 用原理图输入的方式设计三人表决器 用verilog-HDL语言设计三人表决器-CPLD/FPGA design example manual Three of the voting machine VHDL language Schematic design of a three-member voting Verilog-HDL language design three-member voti
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2754133
    • 提供者:叶子
  1. CNT999

    0下载:
  2. 使用VHDL设计999加法计数器,并使用扫描译码电路将数字显示在数码管上。顶层设计使用的原理图-Design using VHDL adder 999 counters, and use the digital scan decode circuit in the digital tube display. Schematic top-level design using
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:142492
    • 提供者:杨芸
  1. adder

    0下载:
  2. 全加器:Powerpoint课件示例支持,典型组合逻辑原理图输入设计-full adder design with VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:59751
    • 提供者:s
  1. mux21

    0下载:
  2. 二选一多路选择器的设计压缩包, 采用原理图方式和VHDL方式,quartusII 软件设计, 包含各种设计文件及目标下载文件.-mux21 design package, adopts the principle diagram method and VHDL, quartusII design software, download file contains all kinds of design documents and target.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:66865
    • 提供者:s
  1. VHD-L-QUARTUS--Counter

    0下载:
  2. 基于QUARTUS软件的VHDL语言开发,文件中含有VHDL语言设计的分频器,加法减法计数器,并生成有原理图,只要有QUARTUS软件即可仿真运行。-VHDL QUARTUS Counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11118607
    • 提供者:STAR LEE
  1. FPGA_AND_ASIC

    0下载:
  2. 首先要知道自己在干什么?数字电路(fpga/asic)设计就是逻辑电路的实现,这样子说太窄了,因为asic还有不少是模拟的,呵呵。我们这里只讨论数字电路设计。实际上就是如何把我们从课堂上学到的逻辑电路使用原理图(很少有人用这个拉),或者硬件描述语言(Verilog/VHDL)来实现,或许你觉得这太简单了,其实再复杂的设计也就是用逻辑门电路搭起来的。你学习逻辑电路的时候或许会为卡拉图,触发器状态推倒公式而感到迷惑,但是其实有一点可以放心的是,实际设计中只要求你懂得接口时序和功能就可以了,用不着那么
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-15
    • 文件大小:19456
    • 提供者:吕攀攀
  1. HDB3

    0下载:
  2. 按照要求对“数字基带信号HDB3译码器设计与建模”进行逻辑分析,了解HDB3译码器译码原理,了解各模块电路的逻辑功能,设计通信系统框图,画出实现电路原理图,编写VHDL语言程序,上机调试、仿真,记录实验结果波形,对实验结果进行分析。(In accordance with the requirements of the logical analysis of the design and modeling of the digital baseband signal HDB3 decoder, H
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:8990720
    • 提供者:Remrinrin
« 1 2 3 4»
搜珍网 www.dssz.com