CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl 密码锁

搜索资源列表

  1. zhinengmima

    0下载:
  2. 基于VHDL语言的智能密码锁设计,实现开锁的功能,希望对大家有用-mimasuo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:802111
    • 提供者:钟佳琦
  1. dianzimimasuo

    0下载:
  2. 电子密码锁的实现,VHDL eda和引脚的分布-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1139
    • 提供者:王品一
  1. mms

    0下载:
  2. 四位密码锁的VHDL代码设计 四位密码锁的VHDL代码设计-Four of the VHDL code design locks four VHDL code design code lock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1345
    • 提供者:
  1. codecheck

    0下载:
  2. 基于VHDL语言的密码锁设计源代码,实现密码锁的基本功能-Language based on VHDL design source code locks
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:888102
    • 提供者:陈鹏
  1. lock7.1

    0下载:
  2. 用VHDL语言编写的密码锁的设计,用于模仿密码锁的工作过程。完成密码锁的核心控制功能。-VHDL language with the password lock design, used to simulate the working process of lock. Completion of the core lock control function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6538752
    • 提供者:苏佳佳
  1. lock

    0下载:
  2. 用于模仿密码锁的工作过程。完成密码锁的核心控制功能。才用VHDL作为编译平台-Used to simulate the working process of lock. Completion of the core lock control function. VHDL was used as a compiler platform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2288659
    • 提供者:苏佳佳
  1. mimasuo_design

    0下载:
  2. 这是一个基于quartusII平台,利用VHDL软件编写的关于密码锁的程序,里面把密码锁详细分解为输入模块、密码模块、控制模块以及一个密码锁的总体设计,详细介绍了密码锁的控制过程。-This is based on quartusII platform, software development using VHDL program on lock, which locks in detail the decomposition of the input module, the cryptogr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1106592
    • 提供者:kuaileye
  1. shuziluoji

    0下载:
  2. VHDL课程设计 数字密码锁 设计一个简易的密码锁电路,该锁应在受到3位与规定码相符的十进制数码时打开,使相应指示灯亮;若收到于规定代码不符或者开锁程序有误,表示错误的指示灯亮。-VHDL design course design a digital lock easy lock circuit, the lock should be subject to three decimal consistent with the provisions of the digital code when
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:83248
    • 提供者:sofia
  1. digital-lock

    2下载:
  2. vhdl课程设计电子密码锁的完整可执行程序,最终评为优秀-vhdl program designed electronic locks complete executable program, and ultimately as good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2731893
    • 提供者:苏亮亮
  1. Phoenix3

    0下载:
  2. 数字密码锁的VHDL语言八位二进制,串行输入,有开锁和错误提示(LED) -code lock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:696674
    • 提供者:童超
  1. key931

    0下载:
  2. 这是一个密码锁的vhdl语言实现,其密码为931,连续输入即可开锁-this is a key whose numbei is 931 in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:937
    • 提供者:zz
  1. dzim

    0下载:
  2. 电子密码锁 基于FPGA设计的电子密码锁是一个小型的数字系统,与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件。在实际应用中,程序容易跑飞,系统的可靠性较差。本文介绍的一种基于现场可编辑门阵列FPGA器件的电子密码锁的设计方法,采用VHDL语言对系统进行描述,并在EP3C10E144C8上实现。-password lock FPGA-based design of the elect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:436962
    • 提供者:zhu
  1. yyy

    0下载:
  2. 基于FPGA设计的电子密码锁是一个小型的数字系统,与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件。在实际应用中,程序容易跑飞,系统的可靠性较差。本文介绍的一种基于现场可编辑门阵列FPGA器件的电子密码锁的设计方法,采用VHDL语言对系统进行描述,并在EP3C10E144C8上实现。 通过仿真调试,利用可编程逻辑器件FPGA的电子密码锁的设计基本达到了预期目的。当然,该系统在一些细节
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:151004
    • 提供者:zhu
  1. EDA

    0下载:
  2. 关于万年历、音乐播放器、数字密码锁的VHDL编程-the file is about the clock,music player and the digital locker
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-08
    • 文件大小:15537033
    • 提供者:何山峰
  1. lock

    0下载:
  2. 基于VHDL的4位电子密码锁,可以进行密码输入,更改,锁定,解锁。密码输入和输出都是串行的。-4 of VHDL-based electronic lock, password input, change, lock and unlock. Password input and output are serial.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2475888
    • 提供者:bo
  1. mimasuo

    0下载:
  2. EDA课程设计基于VHDL的电子密码锁设计-EDA curriculum design with VHDL-based electronic code lock design
  3. 所属分类:SCM

    • 发布日期:2017-06-09
    • 文件大小:16338667
    • 提供者:燕子
  1. LOCK

    0下载:
  2. vhdl编程实现密码锁功能并进行了仿真,可以实现硬件调试-VHDL programming achieve password lock function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:706677
    • 提供者:4354
  1. Cipher-lock.doc

    0下载:
  2. VHDL实现四位电子密码锁,并在12864液晶显示屏上显示-VHDL implementation of the four electronic locks, and 12864 on the LCD screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:6337
    • 提供者:刘永
  1. code_lock

    0下载:
  2. 本程序是基于vhdl的4位电子密码锁设计,能够预先设置、修改密码,密码输入错误、超时报警!-This procedure is based on the 4-bit vhdl electronic locks designed to pre-set, change thess paword, the password input error, timeout alarm!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:689974
    • 提供者:张东林
  1. XIN

    0下载:
  2. 一个比较小的电子密码锁的程序的一段 是基于vhdl的状态机-A relatively small electronic lock a section of the program is based on state machine vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1387
    • 提供者:梁涛
« 1 2 3 4 56 »
搜珍网 www.dssz.com