CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl 数码管显示

搜索资源列表

  1. watch

    0下载:
  2. 用VHDL设计实现秒表功能:秒表功能包括开始/暂停键和清零键,精度要达到0.01秒,所以计数显示共有八个数码管,而每个数码管又有八个管脚,因此采用扫描显示的方法,减少管脚数量。时钟脉冲由最低位给入,采用异步方式驱动更高位的计数,时钟频率应该为100Hz,通过数码管显示,共有八个数码管,所以扫描频率应在100Hz的8倍以上。(付按键消抖代码)-VHDL design with a stopwatch functions: stopwatch features include Start/PAUSE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:27292
    • 提供者:李月
  1. qda

    1下载:
  2. 三路智力竞赛抢答器,利用VHDL设计抢答器的各个模块,并使用EDA 工具对各模块进行仿真验证。智力竞赛抢答器的设计分为四个模块:鉴别锁存模块;答题计时模块;抢答计分模块以及扫描显示模块。把各个模块整合后,通过电路的输入输出对应关系连接起来。设计成一个有如下功能的抢答器: (1)具有第一抢答信号的鉴别锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,数码管显示出抢答者的组别。同时电路处于自锁状态,使其他组的抢答器按钮不起作用。 (2)具有计分功能。在初始状态时,主持
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:234821
    • 提供者:menglj
  1. 61EDA_D964

    0下载:
  2. 4_4小键盘扫描+VHDL语言的,可以实现数码管显示,有译码功能-Scan 4_4 small keyboard+ VHDL language can be achieved digital display, a decoding function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:185238
    • 提供者:随云
  1. StopWatch

    0下载:
  2. Verilog 编写的 秒表程序,在数码管上显示,带有清0和暂停键-Stopwatch Implemented by Verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:584483
    • 提供者:洪磊
  1. 7

    0下载:
  2. vhdl七段数码管显示程序,上机实验过,完全正确-Seven-Segment LED display vhdl procedure on the experimental machine, and absolutely correct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4011
    • 提供者:weimin
  1. EXP4_sec

    0下载:
  2. 秒表 4个7数码管中的任何一个显示任意按键按下的次数。初始值为0,当计数到9时,下一次数值为0。利用Verilog HDL语言,编程实现上述功能。-Stopwatch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:504335
    • 提供者:dsds
  1. Mars-EP1C6-F_code2

    0下载:
  2. 此包为FPGA学习板接口实验程序源代码,共包括13个实验程序,有7段数码管,1602液晶显示,12864液晶显示,I2C总线,串口通信,拨码开关等.-The packet interface to FPGA board experimental procedure to study the source code, a total of 13 experimental procedure, there are 7-segment digital tube, 1602 LCD 12864 LCD,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4633951
    • 提供者:sunxh092
  1. hexc_display

    0下载:
  2. 数码管显示的VHDL程序,自己做实验调出来的-LED display of the VHDL program, tune out their own experiments
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:126199
    • 提供者:周宇
  1. shumaguanxianshi-VHDL

    0下载:
  2. 用VHDL语言编程完成数码管0-255数字的显示-VHDL language programming with the 0-255 number to complete the display of the digital control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1649
    • 提供者:hewwit
  1. verilog

    1下载:
  2. 通过I2C接口读写EEPROM 在本项目中,我们利用Verilog HDL实现了部分I2C总线功能,并能够通过该总线对AT24C02进行读写操作。为了便于观察读写eeprom的结果,我们将读写的数据同时显示在七段数码管上,并设定读写的数据从0到255不断循环,这样就可以方便进行比较。 -Through the I2C interface to read and write EEPROM in this project, we use Verilog HDL to achieve some o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:8651
    • 提供者:andy
  1. test4adder

    0下载:
  2. 用VHDL实现的加法器,可以进行减法运算,运算结果通过数码管显示,由于设计时的按键较少,所以运算的范围比较小,只能计算64以内的加减法运算,可以作为学习资料来参考。-Adder using VHDL implementation can be carried out subtraction, calculation resulted in the adoption of digital tube display, due to the design of the keys relatively
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1573950
    • 提供者:周峰
  1. VHDL_32bit_timer

    0下载:
  2. VHDL写的32位计数,两个四位共阳数码管输出 串口输出+数码管显示的计时器程序 每次停止后串口输出。-VHDL to write 32-bit count, a total of two 4-yang control output serial digital output+ digital tube displays each stopped the timer program serial output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:250616
    • 提供者:
  1. seg

    0下载:
  2. 用VHDL编写的数码管显示程序(数码管共用数据线),带有进制转换功能-Written in VHDL, digital tube display program (digital control shared data line), with a binary conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:714825
    • 提供者:jizhen
  1. DZZ1

    0下载:
  2. 多功能数字钟 能进行正常的时、分、秒计时功能, 分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。   2. 能利用实验系统上的按键实现“校时”“校分”功能: 3. 能利用扬声器做整点报时-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:1024085
    • 提供者:chenshilin
  1. clock

    0下载:
  2. 基于vhdl的数字钟,分别由6个数码管显示24小时、60分钟、60秒的计数显示;设有校时、校分、秒清零校正功能,分别由3个按键控制;验证可用。-On vhdl digital clock, respectively, by 6 digital tube display 24 hours, 60 minutes, 60 seconds of the count display with school hours, school hours, seconds, cleared correction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:267348
    • 提供者:ly
  1. display

    0下载:
  2. 一个用VHDL语言编写的七段数码管显示程序,后续还有分频器、数据选择器、计数器程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -Written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:234240
    • 提供者:QQ
  1. zhuangtaijijiaotongdeng

    0下载:
  2. 利用VHDL设计的另外一种方法的交通灯程序。在controller模块中设置了2个进程,其中一个用来控制内置计数器的增加,一个用来控制交通灯的显示。从controller模块中出来的时间是2位的BCD码,要进行数码管显示就需要得到2个一位的BCD码,因此就需要利用separate模块来实现。separate模块的思想也比较简单,就是利用比较然后得到相应的十位数和个位数。-In the controller module set up two processes, one of which is
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:13439
    • 提供者:
  1. FREQTEST.tar

    0下载:
  2. VHDL写的16进制显示数字频率计,用8位数码管显示-16 hexadecimal display digital frequency meter VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:368019
    • 提供者:吴涛
  1. seven_lcd

    0下载:
  2. 七段数码管显示的时钟程序VHDL代码 ISE编译环境-SEVEN seg VHDL ISE CLOCK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:594032
    • 提供者:gaoshang
  1. test

    2下载:
  2. VHDL代码 键盘扫描,数码管静态移位显示,类似于计算器显示数据-VHDL code
  3. 所属分类:其他小程序

    • 发布日期:2014-03-28
    • 文件大小:68036
    • 提供者:王莹
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 22 »
搜珍网 www.dssz.com