CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl 电子钟

搜索资源列表

  1. VHDLClock

    0下载:
  2. 用VHDL做的数字电子钟,具有闹铃功能哦!-The digital electric clock with VHDL, with alarm function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:704199
    • 提供者:风之子
  1. timer_set

    0下载:
  2. fpga 时钟 电子钟 可设时间 复位 vhdl描述 以测试-fpga time——set
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:102137
    • 提供者:杨庆
  1. electronic-clock

    1下载:
  2. 数字电子钟是数字电路中的一个经典学习内容。我们选用电子钟作为最后结课项目的目的,是为了学习verilog语言、FPGA的基本知识、由顶层至底层的设计思路。-VHDL source code for electronic clock design to share
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-12-24
    • 文件大小:252928
    • 提供者:李熠
  1. shuzidianzizhong

    0下载:
  2. 基于VHDL 数字电子钟设计(时、分、秒),有校时,分频,倒计时流水灯灯功能。-Based on VHDL VHDL-based design of digital electronic clock (hours, minutes, seconds), there is the school, the frequency, the countdown water lights lamp function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:2357
    • 提供者:陈静娴
  1. 17-Clock

    0下载:
  2. 这是一个成功的电子钟VHDL和Verilog源代码,已在DH-33001开发板上调试成功。-This is a successful electronic clock VHDL and Verilog source code, in the DH-33 001 development board debugging.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:81543
    • 提供者:hjs
  1. FPGA-based-multi-Divider

    0下载:
  2. 分频器是指使输出信号频率为输入信号频率1/N的电子电路,N是分频系数。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。 本文当中,在分析研究和总结了分频技术的发展趋势的基础上,以实用、可靠、经济等设计原则为目标,介绍了基于FPGA的多种分频器的设计思路和实现方法。本设计采用EDA技术,以硬件描述语言VHDL为系统逻辑描述手段设计文件,在QuartusⅡ工具软件环境下
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:4696
    • 提供者:吴红梅
  1. digital-electronic-clock-

    0下载:
  2. 应用VHDL语言实现数字电子钟模块,包括时序仿真图-The application of VHDL language digital electronic clock module, including the timing simulation Figure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:30545
    • 提供者:James
  1. electronic-clock-can-chime-tone

    0下载:
  2. 这是用VHDL语言编写的可报时调时电子钟(源代码)-This is the electronic clock chime tone when using VHDL language (source code)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4275
    • 提供者:James
  1. shuzizhong

    0下载:
  2. 使用vhdl语言设计电子钟。具有时、分、秒计数功能,且以24小时循环计时。计时结果要用6个数码管分别显示时、分、秒的十位和个位。具有清零功能。 -Use vhdl languages ​ ​ designed electronic clock. Has hours, minutes, seconds count and a 24-hour cycle timing. The timing results use six digital tube display hours
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:322721
    • 提供者:陈小龙
  1. TUP3_clocckh

    0下载:
  2. 这是一个电子钟程序,采用VHDL开发发,在altera的FPGA板上实现。 -This is an electronic clock procedures, using VHDL development hair, altera FPGA board implementation.
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-02
    • 文件大小:3939
    • 提供者:CerisTao
  1. 1602lcdclock

    0下载:
  2. 使用vhdl语言在fpga平台上制作lcd电子钟,对于初学者,是一段很好的参考代码-Using VHDL language in fpga platform production LCD electronic clock, for beginners, is a very good reference code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:1954
    • 提供者:杜彬
  1. sz

    0下载:
  2. 原创电子钟 VHDL语言 计数器原理 简单易懂 很有参考价值-Original electronic clock VHDL language counter principle straightforward great reference value
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:630789
    • 提供者:冯科磊
  1. dianzizhong

    0下载:
  2. 用VHDL语言编写的数字电子钟的代码,在quartus上运行即可-Digital clock using VHDL language code can be run on in the quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:801
    • 提供者:li peng
  1. eclock

    0下载:
  2. 使用vhdl语言实现一个集计时器,闹钟,整点报时为一体的电子钟-Electronic clock VHDL language as one of a set timer, alarm clock, the whole point timekeeping
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:965060
    • 提供者:王小飞
  1. shuzi-dianzi-zhong

    0下载:
  2. 基于VHDL的自动电子钟,并利用Quartus II 软件集成开发环境进行编译、综合、波形仿真成功-VHDL-based automatic electronic clock, and use the Quartus II software integrated development environment to compile, synthesize, waveform simulation success
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:1206
    • 提供者:
  1. dianzishizhong

    0下载:
  2. vhdl语言编写实现的数字电子钟程序代码-vhdl language code to achieve the electronic clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:989
    • 提供者:ll
  1. time

    0下载:
  2. FPGA做的电子钟,通过定时器实现。用vhdl做的led ip核,软件实现控制显示-FPGA do electronic bell, by timer implementation. Led ip vhdl do with nuclear, software control display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14768128
    • 提供者:勇磊
  1. clock

    0下载:
  2. 本程序成功的描述了如何用vhdl完成对电子钟的设计,简单易懂,简洁明了-This procedure describes how to use the successful completion of the electronic clock vhdl design
  3. 所属分类:OS Develop

    • 发布日期:2017-05-28
    • 文件大小:11730815
    • 提供者:liuyunpeng
  1. clock

    0下载:
  2. VHDL语言写的电子时钟,该数字电子钟能够实现时、分、秒计时功能;校准时和分的功能;校准时间时秒清零的功能;整点报时的功能;-written in VHDL,clock,count second,minute and hour
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-14
    • 文件大小:3242614
    • 提供者:聪聪
  1. my_clock01

    0下载:
  2. 用VHDL语言实现电子钟功能,用不同模块按时分秒显示-To achieve the electronic clock function with VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:7830
    • 提供者:赵海兵
« 1 2 3 45 »
搜珍网 www.dssz.com