CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl 键盘

搜索资源列表

  1. KeyScan

    0下载:
  2. 基于VHDL的键盘扫描程序 仅供初学者参考-VHDL-based keyboard scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:712766
    • 提供者:Domo
  1. keyboard_QuDou

    0下载:
  2. 基于EDA技术的键盘控制系统设计的VHDL程序-Keyboard based on EDA technology VHDL process control system design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:707
    • 提供者:hunaigang
  1. jianpanqudou

    0下载:
  2. 主要是VHDL语言编写的键盘去抖程序,很正确,很实用-Mainly VHDL language keyboard to shake procedure, it is correct, it is practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:101331
    • 提供者:王聪
  1. ps2

    0下载:
  2. 基于vhdl的ps2键盘编码显示模块,经测试,效果非常好-Vhdl coding based on the ps2 keyboard display module, tested, very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:393258
    • 提供者:zhang
  1. MI

    0下载:
  2. PS2的键盘解码和led灯显示解码的联合,适合初学者,VHDL程序-PS2 keyboard decoding and joint decoding led light display, suitable for beginners, VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:718
    • 提供者:陈佳
  1. vhdl_key

    0下载:
  2. 由vhdl语言实现的小键盘控制文件,能实现消抖和4*4矩阵小键盘的扫描-Vhdl language from the keypad control file, to achieve debounce and 4* 4 matrix keypad scanning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1411
    • 提供者:李锋
  1. PS2-keyboard

    0下载:
  2. fpga的ps2-键盘数码管显示程序,包括vhdl,顶层文件,工程文件-fpga of ps2-keyboard digital tube display program, including vhdl, top-level files, project files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:515888
    • 提供者:zhouhengjun
  1. LCD-display

    0下载:
  2. fpga的键盘阵列LCD显示程序,包括vhdl文件,顶层文件和工程文件-fpga array of keyboard LCD display procedures, including vhdl files, top-level files and project files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:549292
    • 提供者:zhouhengjun
  1. KeyBoard

    0下载:
  2. 用VHDL编写的键盘代码,有按键防抖动功能-VHDL code written with the keyboard, there are key anti-shake function. . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:426611
    • 提供者:bigl
  1. Key

    0下载:
  2. VHDL的键盘处理程序,已调试,可直接调用,下载-VHDL keyboard handler, has been commissioning can be called directly, download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:108002
    • 提供者:李小平
  1. PS2_control_of_LCD

    0下载:
  2. 基于VHDL的PS2键盘控制LCD显示源程序-The source program of PS2 keyboard controling of LCD display based on VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:887926
    • 提供者:年龙
  1. ps2

    0下载:
  2. 基于VHDL的ps/2键盘接口的设计与实现。代码简单易懂。-Based on VHDL ps/2 keyboard interface of design and implementation. Code simple and understandable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:231509
    • 提供者:郑海波
  1. saomiao

    0下载:
  2. 利用VHDL编写而成的具有键盘扫描功能程序。-Written in VHDL with the use of the keyboard scanning process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2254806
    • 提供者:
  1. juzhen_jainpan4_4

    0下载:
  2. 基于VHDL语言的矩阵键盘的源代码,,代码清晰,明了,时间哎那验证好使-Source code of the matrix keyboard based on VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:196153
    • 提供者:liu
  1. a-design-of-Matrix-keyboard

    0下载:
  2. 关于矩阵键盘的设计方法,四乘四,基于vhdl语言-vhdl Matrix keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:221805
    • 提供者:wxt
  1. KEYBOARD

    0下载:
  2. VHDL设计矩阵键盘输入 -VHDL KEYBOARD VHDL KEYBOARD VHDL KEYBOARD VHDL KEYBOARD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:5109
    • 提供者:张鹏
  1. 4X4vhdl

    0下载:
  2. 4X4键盘检测功能基于VHDL言语,程序简单明了。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:721816
    • 提供者:张楼
  1. keyboard_test

    0下载:
  2. 4×4键盘扫描程序。用VHDL语言写的键盘扫描编码输出的程序。-4 x4 keyboard scanning procedures. Written in VHDL language keyboard scan code output program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:258183
    • 提供者:kai
  1. Cal2

    0下载:
  2. 课堂学习自制VHDL计算器小程序,使用4*4键盘,A加法,B乘法,C退格,E等于,F清零。-A program of Calculator made in VHDL course in school, using 4*4 keyboard.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:779363
    • 提供者:Wang
  1. key

    0下载:
  2. 应用FPGA中的vhdl语言编写进行键盘扫描程序-Keyboard scan application on VHDL keyboard scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:192194
    • 提供者:xiaoyu
« 1 2 ... 7 8 9 10 11 1213 14 15 »
搜珍网 www.dssz.com