CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 数码

搜索资源列表

  1. DDS1302RTCS

    0下载:
  2. DS1302 时钟芯片使用可充电电池的时候需要设置软件中的充电参数 接口口默认连接 P3 口,样例程序使用数码管轮流显示 ‘年月日’ 和‘时分秒’ -DS1302 clock chip to use rechargeable batteries when you need to set the default connection port P3 port charging parameters in the software interface, sample programs usin
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:16922
    • 提供者:宴会
  1. Apictureredras

    0下载:
  2. 由于光学镜头的生产工艺等原因,数码相机拍摄图像常常会出现非线性的几何畸变。针对这一常见问题,采用最小二乘拟合、双线性性插值的方法对拍摄图像进行校正。实验结果表明,该方法能够在保证无颜色失真的条件下获得较为理想的校正结果。 -Due to optical lens production process, digital camera images are often non-linear geometric distortion. In response to this common pro
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-11
    • 文件大小:2834467
    • 提供者:tianmanglian
  1. capture

    0下载:
  2. 数码摄像头拍照, QQ截图, 相框截图,解决网上案例未完问题-Digital camera, camera, QQ shots, photo frames shots, to solve the online case unfinished
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1319428
    • 提供者:laopeng
  1. Tliangweishume

    0下载:
  2. 测试两位数码管, 测试两位数码码管,测试两位数码管可直接使用。 -Digital tube test two, test two digital code tube, test two digital tube can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-16
    • 文件大小:12574
    • 提供者:gangw
  1. INT0-

    0下载:
  2. 每次按下第 1 个计数键时, 第1 组计数值累加并显示在右边3 只数 码管上, 每次按下第 2 个计数键时,第 2 组计数值累加并显示在左边3 只数码管上,后两个按键分别清零。-Each time you press a count key, the first group count value accumulated and displayed on the right three digital tube, each time you press the two counts
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:713
    • 提供者:易德辉
  1. 74HC595aSP

    0下载:
  2. 1、以单片机4位数码管和S0/S1两个按键为硬件电路。 2、按下S0升序计数,极限为9999 按下S1降序计数,极限为0000 -A hardware circuit to microcontroller 4 digital tube and S0/S1 two keys. 2, press the S0 ascending count limit is 9999 press S1 descending count limit is 0000
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:98062
    • 提供者:易德辉
  1. LED-24xiaoshishizhong

    0下载:
  2. 用89c52定时功能和8个数码管模拟24时制时钟-89c52 timer function and 8 digital tube simulation 24 time clock
  3. 所属分类:CSharp

    • 发布日期:2017-04-16
    • 文件大小:70178
    • 提供者:赖鹏
  1. qiangdaqi

    0下载:
  2. 51单片机写的抢答器程序 60秒计时 使用定时器计时 数码管显示-51 single-chip write Responder program using the timer 60 seconds time timekeeping digital display
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:15641
    • 提供者:mufengxiang
  1. shumaguan

    0下载:
  2. 使用7段数码管显示4*4矩阵键盘按键对应的值-7-segment LED display 4* 4 matrix keyboard keys corresponding value
  3. 所属分类:Other systems

    • 发布日期:2017-11-20
    • 文件大小:8669
    • 提供者:天涯
  1. fkeyboardp

    0下载:
  2. fpga与4*8键盘盘和数码管结合的测试程序 -the fpga with 4* 8 keyboard tray and digital tube binding of test program
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-30
    • 文件大小:412076
    • 提供者:molingrang
  1. ADC0832

    0下载:
  2. adc0832温度采集,可以自动显示温度在数码管上-adc0832 temperature acquisition can automatically display the temperature on the digital
  3. 所属分类:Other systems

    • 发布日期:2017-11-10
    • 文件大小:17088
    • 提供者:well
  1. Waveform-generator

    0下载:
  2. 基于51及DAC0800的波形发生器,运用数码管显示,可产生1000KZ以下的三角波,方波,正弦波,并含有protues仿真图-51 and DAC0800 based waveform generator, use the digital display, can produce less 1000KZ triangle wave, square wave, sine wave, and to contain protues simulation Figure
  3. 所属分类:CSharp

    • 发布日期:2017-11-07
    • 文件大小:133971
    • 提供者:andy
  1. Appendix_B

    0下载:
  2. FilterImageGrabber:即时抓图的Filter。这是一个Trans-In-Place Filter,Filter注册名为“HQ Image Grabber”,支持RGB32/24/565/555四种媒体类型, 将其接入到Filter链路之后,可以抓取任何一个经过该Filter的Sample。 AVCap: 音视频采集演示程序。支持AGP/PCI/USB等各种接口的采集卡,支持 数码摄像机输入,实现即时抓图,边预览边采集,支持热插拔等等。 -FilterIm
  3. 所属分类:DirextX

    • 发布日期:2017-11-11
    • 文件大小:21499
    • 提供者:Tom
  1. TM1638

    1下载:
  2. 数码管驱动芯片TM1638的详细使用手册。-LED Driver IC TM1638 detailed user manual.
  3. 所属分类:Other systems

    • 发布日期:2016-03-23
    • 文件大小:456704
    • 提供者:gg
  1. shumaguan

    0下载:
  2. 共阳极数码管显示程序,方便需要的人参考。-Common anode digital tube display program, to facilitate the needs of people reference.
  3. 所属分类:Other systems

    • 发布日期:2017-12-10
    • 文件大小:513
    • 提供者:张伟
  1. Usegg7_11s

    0下载:
  2. 用VHDL描述一个让6个数码管同时显示出来的控制器,同时显示出来0、1、2、3、4、5这6个不不同的数字图形到6个数码管上,输入时钟调节频率,使的能够观察到稳定显示出来的6个数字。可异步复位 -With VHDL descr iption of a let six digital tube display controller, 0,1,2,3,4,5 six different digital graphics displayed to six digital tube, adjust t
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-18
    • 文件大小:755
    • 提供者:mmzz3211
  1. Wi2c_AT24C04_i

    0下载:
  2. 用Verilog HDL语言编写的AT24C04程序,并并用数码管显示,已经过测试,很好用, -Verilog HDL language of the AT24C04 procedures and use digital tube display, has been tested, easy to use,
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-24
    • 文件大小:11119
    • 提供者:anticipate
  1. PCF8591

    0下载:
  2. Pcf8591实用的应用程序,以及数据采集显示到数码管-Pcf8591 practical applications, as well as data acquisition and display digital tube
  3. 所属分类:Other windows programs

    • 发布日期:2017-10-31
    • 文件大小:2048
    • 提供者:换季
  1. DDVT_caai

    0下载:
  2. 数码视讯(DVT)CA移植源代码。此代码用于DVT数字字电视条件接收系统CA的移植之用。, -Digital video (DVT) CA the transplantation source code. This code is used to DVT digital word TV Conditional Access System CA transplants. And
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-11
    • 文件大小:65307
    • 提供者:guowai
  1. MMAX7219A

    0下载:
  2. 基于MAX7219的8位数码管理显示(带电路图))MAX7219 8 ,经测试 -Based on the MAX7219 8 digital management (with schematic)) MAX7219 8 has been tested
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-24
    • 文件大小:18380
    • 提供者:r123qq
« 1 2 ... 42 43 44 45 46 4748 49 50 »
搜珍网 www.dssz.com