CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 方波频率计

搜索资源列表

  1. DigitalElectronicsDivisionLocated

    0下载:
  2. 数字电子课设 顶事炸弹的拆除系统是基于计数器、编码器、译码器、数据选择器等中、小规模集成电路的小型数字系统。本系统主要由五个部分组成:波形产生部分、密码输入部分、控制部分、计数部分和报警部分。波形产生部分的功能是获得具有一定周期、频率以及固定占空比的方波。拆除炸弹时拆弹人员通过密码输入部分输入密码进行炸弹的拆除。控制部分和计数部分是本系统的核心,根据外界条件的不同刺激,控制部分作出相应的反应来控制计数部分的工作,并通过报警部分提示本系统当前所处的状态。本系统为炸弹使用人员和拆弹人员提供了五个
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-09
    • 文件大小:1850545
    • 提供者:Ren
  1. gfdfgbb

    0下载:
  2. 设计一个简易等精度频率计 信号:方波 频率:1Hz~1MHz-Design a simple and other precision frequency meter signal: square wave frequency: 1Hz ~ 1MHz
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:1018749
    • 提供者:方大牙
  1. zpqm

    0下载:
  2. 频率计,基本设计原理是直接用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量。-Frequency meter, the basic design principle is directly with decimal digital display measured signal frequency of a measuring device.It with the method of measuring cycle of sine wave
  3. 所属分类:CSharp

    • 发布日期:2017-05-01
    • 文件大小:161165
    • 提供者:陈松
  1. pinluji

    0下载:
  2. 基于easy-51单片机的频率计,该频率计可以产生1-50hz频率方波,且可以调节产生方波的频率周期,占空比-Based on easy-51 microcontroller frequency meter, frequency meter that can generate 1-50hz frequency square wave, and can adjust the frequency of the square wave cycle, the duty cycle
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-04
    • 文件大小:15821
    • 提供者:王杰
  1. 波形发生器1

    0下载:
  2. 基于89C51的波形发生器,产生三角波,锯齿波,正弦波,方波,频率计,其幅度,频率可调(89C51 based waveform generator, generate triangular wave, sawtooth wave, sine wave, square wave, frequency meter, its amplitude, frequency adjustable)
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:130048
    • 提供者:欢姐
  1. 基于stm32f103开发板的频率计

    1下载:
  2. 基于stm32f103开发板 测量方波(pwm)的频率 频率范围 (1-50k为佳)(Based on the STM32F103 development board, the frequency (frequency range) of Fang Bo (PWM) is measured (1-50k is better))
  3. 所属分类:其他

    • 发布日期:2017-12-17
    • 文件大小:2421760
    • 提供者:mengjun_ding
  1. 8bit-freqDetect

    1下载:
  2. 题目1:设计一个8位数字显示的简易频率计。要求: ①能够测试10Hz~10MHz方波信号; ②电路输入的基准时钟为1Hz,要求测量值以8421BCD码形式输出; ③系统有复位键; ④采用分层次分模块的方法,用Verilog HDL进行设计。 ⑤写出测试仿真程序(Topic 1: Design a simple frequency meter with 8 digits display. Requirement: It can test 10 Hz ~ 10 MHz square wave si
  3. 所属分类:其他

    • 发布日期:2019-12-12
    • 文件大小:140288
    • 提供者:鹏jjjjj
搜珍网 www.dssz.com