CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - C0

搜索资源列表

  1. driveremccme

    0下载:
  2. <!--startfragment--><scr ipt language=\"javascr ipt\"> <!-- var numsrc=\"lcnumber/c0.gif\" //</scr ipt>
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:2.21kb
    • 提供者:emc
  1. CMMoYi_C010

    0下载:
  2. C0编译器,实现词法分析、语法分析、输出运行栈、输出符号表表、错误处理,实现到生成PCode。,已通过测试。 -C0 compiler, lexical analysis, syntax analysis, the output of run-time stack, the output symbol table, error processing, to generate PCode. , Has been tested.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:34.06kb
    • 提供者:lhn19822
  1. CC0compiller0

    0下载:
  2. C0文法编译器,生成32位汇编, 没做优化,但是经过了苛刻的测试,masm614已经打包进去了,程序里写了一个脚本解释程序,,控制编译器的所有动作,方便使用,已经很完善了,里面有几个测试程序,这是buaa的编译课程设计,师弟师妹用的话别忘请师兄我吃饭啊 -C0 grammar compiler to generate 32-bit assembly, not optimized, but after a demanding test, masm614 have been packaged i
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-02
    • 文件大小:1.12mb
    • 提供者:消极
  1. DAC_Load

    0下载:
  2. Load DAC by SPI protocol -- Unit provides serial load of DAC trough SPI 3-wire serial interface -- It sends 24-bit word, format of the word: -- 4-bit command: C3-C0, 4x don t care bits, 12-bit data: d11-d0, 4x don t care bits -- -- Serial i
  3. 所属分类:Other systems

    • 发布日期:2017-11-19
    • 文件大小:6.6kb
    • 提供者:Vladimir
  1. spline2D

    0下载:
  2. Spline2D is a work in progress. Currently it only matches C0 (continuous function) not C1 (continuous in 1st derivative) or C2 (continuous in 2nd derivative), which will be added later.
  3. 所属分类:Other systems

    • 发布日期:2017-11-24
    • 文件大小:68kb
    • 提供者:ouchi
  1. C0

    0下载:
  2. C0语言的编译程序,实现简单功能,在PL/0编译程序基础上编写。-C0 language compiler, simple functions in PL/0 compiler prepared on the basis.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-07
    • 文件大小:5.39kb
    • 提供者:李凯
  1. C0-compiler

    0下载:
  2. C0语言编译器,简单的实现c0语言的编译,中学生水平-C0 compiler
  3. 所属分类:CSharp

    • 发布日期:2017-04-28
    • 文件大小:71.87kb
    • 提供者:帅哥
  1. 1206XXXX

    0下载:
  2. 小编译器,扩充C0文法,北航编译大作业。能够实现词法分析,语法分析,语义分析,代码生成,以及部分的优化(优化部分做的很不好,当时时间来不及了,所以只有大概的算法,没有来得及修改,在最后测试的时候自己改了,所以需要用的人自己照着算法来改),最后只得了86,仅供参考。-a compiler with extented C0 language which is also the final design of the course Compiler in buaa.
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1.24mb
    • 提供者:李子青
  1. CODE-C0.002~0.2

    0下载:
  2. 基于LC电路下垂控制的小信号模型,包括VISIO电路结构图-Small signal model based LC circuit droop control
  3. 所属分类:Other systems

    • 发布日期:2017-04-30
    • 文件大小:29.96kb
    • 提供者:麦克扬
  1. 调试C0

    0下载:
  2. 基础的C0语言编译器,黑框输出,词义分析,语法分析,输入机器指令,输出结果(c0 compiler task in compiler class, has the basic function and can show the output with simple program)
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:5kb
    • 提供者:ChicoQ
  1. si四位加法器

    0下载:
  2. 内含三个普通的四位加法器,adder,adder4-2,adder4-3(library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity full_adder is port( a,b,ci :in std_logic; s,co :out std_logic); end entity; architecture rtl of full_adder is begin s&
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:28kb
    • 提供者:小柠
  1. 程序

    1下载:
  2. main_feature.m:为特征提取主要程序,其中调用filter50.m子程序为50HZ工频滤噪;调用ApEn.m c0complex.m kEn_correct.m lyapunov_wolf.m LZC.m spectral_entropy.m SVDen.m SampEn.m子程序为非线性特征(近似熵,C0复杂度,K熵等)提取;wave_brain为小波分析频段特征提取。其中采样频率皆为256HZ。(ApEn.m c0complex.m kEn_correct.m lyapunov_
  3. 所属分类:其他

  1. epd_C0

    1下载:
  2. C0复杂度的程序,可以直接调用,计算其复杂度(C0 complexity of the program, can be directly called, calculate its complexity)
  3. 所属分类:Windows编程

    • 发布日期:2020-12-15
    • 文件大小:1kb
    • 提供者:zzzhai
搜珍网 www.dssz.com