CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - FPGA 频率计

搜索资源列表

  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. cepinji

    0下载:
  2. 用VHDL 语言描述频率计的设计,其开发均在FPGA中-using VHDL descr iption of the design frequency, the development is in FPGA
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1678108
    • 提供者:侯同
  1. pinlvji

    0下载:
  2. 在max_plus2和FPGA实验箱上实现频率计的功能,原创-In the experimental box max_plus2 and FPGA to achieve the function of the frequency of the original
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:353095
    • 提供者:wenwen
  1. sopc_pinlvji_12864

    0下载:
  2. 基于FPGA的高精度数字频率计 定时法与计数法自动转化 测频范围:1hZ---10M 精度:1Hz-very good
  3. 所属分类:Other systems

    • 发布日期:2017-11-28
    • 文件大小:11583927
    • 提供者:wochao
  1. Frequency

    0下载:
  2. 用VHDL语言实现频率计功能,加载到FPGA可以立即实现-With VHDL frequency counter function can be realized immediately loaded into the FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:1510
    • 提供者:张中
  1. pinlvji

    0下载:
  2. 一个用verilog编写的数字频率计,利用FPGA实现计数功能,其中使用的测周法。-A written with verilog digital frequency meter, use FPGA implementation counting function, wherein the measured circumference method to use.
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:22300
    • 提供者:王哲
  1. fdiv0_256_14

    0下载:
  2. 利用Verilog HDL制作一个数控频率计,0~256可控(Use Verilog HDL to make a CNC frequency meter, 0~256 controllable)
  3. 所属分类:其他

  1. counter_frequency - 副本

    0下载:
  2. FPGA制作频率计并且通过串口连接并进行显示(FPGA drive frequency meter and display with serial port)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:5151744
    • 提供者:夜久
  1. 频率计

    0下载:
  2. quartusii 和vhdl语言利用四位频率计设计,(Four bit frequency meter design)
  3. 所属分类:其他

搜珍网 www.dssz.com