搜索资源列表
robot_ctl
- -- Simple Robot Control Program -------------------------------------------------------------------------- library IEEE use IEEE.STD_LOGIC_1164.all use IEEE.STD_LOGIC_ARITH.all use IEEE.STD_LOGIC_UNSIGNED.all
servo_control
- library IEEE use IEEE.STD_LOGIC_1164.all use IEEE.STD_LOGIC_ARITH.all use IEEE.STD_LOGIC_UNSIGNED.all
keyboard_vhdl
- ps2 keyboard with encoding ascii code to 7-segments screeen. LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY klawa IS PORT ( keyboard_clk, keyboard_data, clock_25MHz,
MBFtoIEEE
- Library to convert old MBF to IEEE format, pretty handy when you want to convert pretty old databases to new ones.
library-ieee-2
- vhdl语言环境编程控制adc0809芯片进行采样控制的源文件,其中包含少许说明-vhdl adc0809
5_1
- 计算机组成Clibrary ieee -library ieee library ieee library ieee
jizu
- 计算机组成Clibrary ieee -library ieee library ieee library ieee
LIBRARY-IEEE
- 自动电梯控制电路设计 设计一个4层楼房全自动电梯控制电路,其功能如下: 1、每层楼电梯入口处设有上、下请求开关个一个,电梯内设有乘客到达层次的停站要求开关; -variable seel
LIBRARY-IEEE
- 一个完整的重载函数max的定义和调用的实例-A complete definition of overloaded function Max instance and call
si四位加法器
- 内含三个普通的四位加法器,adder,adder4-2,adder4-3(library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity full_adder is port( a,b,ci :in std_logic; s,co :out std_logic); end entity; architecture rtl of full_adder is begin s&
case33bw
- matlab中matpower工具箱case,标准的库里面没有33bus,这个是标准ieee 33bus配网matpower case(Matpower toolbox case in matlab, there is no 33 bus in the standard library, this is the standard IEEE 33 bus distribution network Matpower case)