CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - Verilog流水灯

搜索资源列表

  1. paomadeng

    0下载:
  2. 跑马灯LED程序,实现流水灯显示,下载即可使用-verilog sourse
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:146077
    • 提供者:miaoya
  1. Johnson_counter

    0下载:
  2. 可控流水灯verilog源码,通过按键实现,流水灯的启动,停止以及左移右移.-this code is very easy to understand
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:262137
    • 提供者:颜爱良
  1. leftrightleds

    0下载:
  2. 流水灯模块的Verilog实现附带完整的测试文件-Verilog realization of water lamp module with a complete test file
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:437111
    • 提供者:fqzxw
  1. liushuideng

    0下载:
  2. 基于VERILOG语言的\\\\\流水灯实验-Verilog language-based light water experiment
  3. 所属分类:Other systems

    • 发布日期:2017-11-05
    • 文件大小:818
    • 提供者:王玲
  1. LED

    0下载:
  2. LED流水灯工作,Altera FPGA控制LED灯,编程使用Verilog语言,控制六个流水灯-6 LEDs,control by FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-11-23
    • 文件大小:310874
    • 提供者:张天霖
  1. test1

    0下载:
  2. 根据实际的流水灯分析时序,用Verilog语言的编程产生相应时序,实现流水灯的效果-According to an analysis of the timing of the actual light water using Verilog language programming timing light water effect
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-02
    • 文件大小:247871
    • 提供者:LL
  1. lsd_1

    0下载:
  2. 流水灯,即跑马灯,利用verilog语言进行控制,和大家相互学习学习-Flowing water light, namely entertaining diversions, use verilog language control, and we learn from each other to learn
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-04
    • 文件大小:390456
    • 提供者:高云飞
  1. led

    0下载:
  2. 基于Verilog语言的过程描述法的流水灯设计,持续运行长时间。-Verilog language descr iption of the process water lamp design method based on continuous operation for a long time.
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-14
    • 文件大小:3324980
    • 提供者:王超
  1. colourful-led

    0下载:
  2. 其中为用verilog写的两个不用频率交替进行的流水灯设计,每个周期执行三次变换运行频率。-Where water lamp for use verilog to write two without frequency alternating design, execution three times per cycle frequency conversion run.
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2476567
    • 提供者:王哲
  1. LED

    0下载:
  2. FPGA中实现led流水灯,通过Verilog语言编程,程序中调用了xilinx公司提供的时钟分频IP CORE-This file is to achiece led like water
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:19733
    • 提供者:shao yue
  1. Running-water-light-code

    0下载:
  2. 利用Verilog实现流水灯,并用按键作为复位信号,最后利用modelsim仿真出波形-Use Verilog to implementate water lights and buttons as a reset signal, finally using modelsim to simulate waveform
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1609
    • 提供者:宁倩慧
  1. LED

    0下载:
  2. 流水灯实例,8个LED循环点亮,实现流水灯效果(Water lamp example, 8 LED cycle lights, to achieve the effect of water lamp)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-23
    • 文件大小:184320
    • 提供者:航天梦
  1. 黑金Altera开发板Verilog实例教程

    0下载:
  2. 控制开发板上的4个LED灯,计数器记到4秒清零,控制LED灯依次亮(Controlling the four leds on the development board, the counter down to the 4 seconds reset, the control the LED light in turn)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:16449536
    • 提供者:意中人8383
搜珍网 www.dssz.com