CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - can verilog

搜索资源列表

  1. CPU

    0下载:
  2. 语言为verilog,平台是ISE,指令较少。32位MIPScpu,可以直接运行(The language is Verilog, the platform is ISE, and the instructions are fewer. 32 bit MIPScpu, can run directly)
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:1955840
    • 提供者:万寿吾江1
  1. 5-HandelC

    0下载:
  2. Handel-C语言的学习文档。Handel-C语言由C/C++演化而来,可以自动实现C到VHDL、C到Verilog、C到EDIF等转换。在DK环境中,DK+Handel-C工具能直接把基于C语言的设计转变为优化的HDL(可以实现:C到VHDL、C到Verilog、C到EDIF等的自动生成), 进而通过FPGA实现,从而保证了各种复杂的高难算法在工程应用的实时性。(Handel-C language documentation. Handel-C language by C/C++ Evolv
  3. 所属分类:其他

    • 发布日期:2018-01-10
    • 文件大小:1037312
    • 提供者:艾斯德斯
  1. miaobiao7

    0下载:
  2. 秒表计数(verilog)可以实现百分秒,秒,分的计数60进制,可以暂停,复位(Stopwatch count (Verilog) can achieve 100 seconds, seconds, the count is 60 hexadecimal, you can pause, reset)
  3. 所属分类:其他

    • 发布日期:2018-01-10
    • 文件大小:10596352
    • 提供者:鲤鱼旗0506
  1. 8bit-freqDetect

    1下载:
  2. 题目1:设计一个8位数字显示的简易频率计。要求: ①能够测试10Hz~10MHz方波信号; ②电路输入的基准时钟为1Hz,要求测量值以8421BCD码形式输出; ③系统有复位键; ④采用分层次分模块的方法,用Verilog HDL进行设计。 ⑤写出测试仿真程序(Topic 1: Design a simple frequency meter with 8 digits display. Requirement: It can test 10 Hz ~ 10 MHz square wave si
  3. 所属分类:其他

    • 发布日期:2019-12-12
    • 文件大小:140288
    • 提供者:鹏jjjjj
  1. At24c02

    2下载:
  2. i2c 的verilog 仿真模型,可用于搭建仿真平台。(The Verilog simulation model of I2C can be used to build simulation platform.)
  3. 所属分类:其他

    • 发布日期:2021-03-20
    • 文件大小:4096
    • 提供者:SHENGLIDEREEN
  1. cdcm6208

    1下载:
  2. cmcd驱动芯片的verilog代码可以直接应用到工程中(cmcd interface you can application in your project)
  3. 所属分类:其他

    • 发布日期:2020-08-06
    • 文件大小:4096
    • 提供者:肉头三
« 1 2 ... 4 5 6 7 8 9»
搜珍网 www.dssz.com