CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - fpga aes

搜索资源列表

  1. AES-based-on-FPGA-jiemi

    0下载:
  2. 基于FPGA的AES算法实现,使用verilog语言实现。本模块只包含解密过程,没有加密过程。-Implementation of AES algorithm based on FPGA, using Verilog language. This module contains only the decryption process, no encryption process.
  3. 所属分类:Other systems

    • 发布日期:2017-11-10
    • 文件大小:13487104
    • 提供者:庄德坤
  1. A-compact-AES-core-with-on-line-error-detection-f

    0下载:
  2. This paper presents a compact, low-cost, on-line error-detection architecture for a 32-bit hardware implementation of the AES. The implemented AES is specially designed for FPGA-based embedded applications, since it is tuned to specific FPGA logi
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:940078
    • 提供者:ANU MOHAN
  1. IYUG

    0下载:
  2. The AES-128 implementation as depicted in Figure 3 has been implemented on the FPGA. This required an initial round key addition followed by ten rounds of S-Box.
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-12
    • 文件大小:1198
    • 提供者:muthana
  1. ALTERA

    0下载:
  2. we are in this file about altera fpga xilinx communication syaterm toolbox for design and system requirements
  3. 所属分类:其他

    • 发布日期:2017-12-22
    • 文件大小:324608
    • 提供者:ghorbanii
  1. AES加密_解密_verilog代码

    0下载:
  2. 用Velirog语言实现AES加密解密,可在FPGA上实现(AES encryption and decryption in Velirog language)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:19456
    • 提供者:hqd
  1. 基于FPGA的AES256位加密

    1下载:
  2. aes 256位 算法 加密程序,使用verilog 语言(AES 256 bit algorithm encryption program, using Verilog language)
  3. 所属分类:其他

    • 发布日期:2020-12-27
    • 文件大小:20480
    • 提供者:wrxlln
搜珍网 www.dssz.com