CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - vhdl 数码管显示

搜索资源列表

  1. VHDL_clock

    0下载:
  2. 用VHDL能进行正常的时、分、秒计时功能、分别有6个数码管显示24小时、60分钟、60秒钟的计数器显示。-VHDL can be used for normal hours, minutes and seconds timing were six LED display 24 hours 60 minutes, 60 seconds showed that the counter.
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:105273
    • 提供者:lianbin
  1. vhdl

    0下载:
  2. 基于MAXPLUS II 的软件设计,这里面有几个小程序,用于VHDL的GDF设计,含有LED数码管的显示驱动程序,还有3选一,十选一程序。-II FPGA-based design software, there are several small procedures, GDF for VHDL design with a digital LED display driver of the procedures, there is a three elections. 10 election
  3. 所属分类:其它

    • 发布日期:2014-01-15
    • 文件大小:2442
    • 提供者:梁兵
  1. CLOCK

    1下载:
  2. 文通过ALTERA公司的quartus II软件,用Verilog HDL语言完成多功能数字钟的设计。主要完成的功能为:计时功能,24小时制计时显示;通过七段数码管动态显示时间;校时设置功能,可分别设置时、分、秒;跑表的启动、停止 、保持显示和清除。-Through the ALTERA company quartus II software, using Verilog HDL language to complete the design of multi-function digital
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:182531
    • 提供者:张保平
  1. Trafficlight

    0下载:
  2. 系统设置一个两位BCD码倒计时计数器(计数脉冲1HZ),用于记录各状态持续时间; 因为各状态持续时间不一致,所以上述计数器应置入不同的预置数; 倒计时计数值输出至二个数码管显示; 程序共设置4个进程: ① 进程P1、P2和P3构成两个带有预置数功能的十进制计数器,其中P1和P3分别为个位和十位计数器,P2产生个位向十位的进位信号; ② P4是状态寄存器,控制状态的转换,并输出6盏交通灯的控制信号。-System to set up a two BCD code c
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1142
    • 提供者:kid
  1. yimaqi

    0下载:
  2. 7段译码器 将0,1,2,3,4,5,6,7,8,9翻译成数码管显示-7 decoder will be translated into digital 0,1,2,3,4,5,6,7,8,9 display
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:107929
    • 提供者:deng
  1. CLOK

    0下载:
  2. 时钟分频。使用原有高频信号,将其10倍频,得到可用于八段数码管显示的扫描信号-Clock frequency. The use of the original high-frequency signal, frequency-doubling of its 10, the eight can be used to display the scanned digital signal
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-06
    • 文件大小:292256
    • 提供者:庄岚
  1. zhuangtaijijiaotongdeng

    0下载:
  2. 利用VHDL设计的另外一种方法的交通灯程序。在controller模块中设置了2个进程,其中一个用来控制内置计数器的增加,一个用来控制交通灯的显示。从controller模块中出来的时间是2位的BCD码,要进行数码管显示就需要得到2个一位的BCD码,因此就需要利用separate模块来实现。separate模块的思想也比较简单,就是利用比较然后得到相应的十位数和个位数。-In the controller module set up two processes, one of which is
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:13439
    • 提供者:
  1. vmeter

    0下载:
  2. 通过sparten2来实现数字电压表实验。实验板上的数码管显示模拟电压的数字,调整实验板上的控制模拟电压的电位器,显示模拟电压的数字应该能够随输入的电压变化而变化。-Sparten2 to achieve through the digital voltmeter experiment. Experiment on-board digital tube display the number of analog voltage to adjust the control experiment on
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:1412
    • 提供者:hong
  1. test

    2下载:
  2. VHDL代码 键盘扫描,数码管静态移位显示,类似于计算器显示数据-VHDL code
  3. 所属分类:其他小程序

    • 发布日期:2014-03-28
    • 文件大小:68036
    • 提供者:王莹
  1. SEG7_Timer

    0下载:
  2. 数码管显示,基于FPGA实现的数码管显示-LED display
  3. 所属分类:CSharp

    • 发布日期:2016-01-26
    • 文件大小:9092112
    • 提供者:小欣
  1. led

    0下载:
  2. 七段LED数码显示器是数字系统中常用的数码显示元件,二进制数不能直接在LED数码管上显示,需要用一个BCD七段译码器进行译码。下图给出了一个七段显示译码器的框图及相应的七段LED数码管的示意图。-Seven-segment LED digital display is commonly used in digital systems digital display devices, a binary number can not be directly displayed on the LED
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:29440
    • 提供者:乐天猫
  1. VHDL

    0下载:
  2. 数字时钟,实现24小时数码管显示,可以实现按键校时-Digital clock, 24 hours to achieve digital display, you can achieve the key school
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1831877
    • 提供者:zcx
  1. 1位数码管动态显示_QII视频讲解

    1下载:
  2. 数码管VHDL视频讲解,详细讲述了使用VHDL语言写的数码管程序(Digital tube VHDL video explanation, detailing the use of VHDL language written in digital tube procedures)
  3. 所属分类:其他

    • 发布日期:2017-12-28
    • 文件大小:9449472
    • 提供者:一战神一
  1. 频率计数码管显示_QII视频讲解

    0下载:
  2. 频率计数码管显示_QII视频讲解 用VHDL语言写的频率计(Frequency meter, digital display, _QII video explanation, written in VHDL language frequency meter)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:3401728
    • 提供者:一战神一
  1. 7段数码管显示程序

    0下载:
  2. 7段数码管显示, 基于VHDL语言编写,(Seven LED light base on VHDL language thanks)
  3. 所属分类:其他

    • 发布日期:2018-01-01
    • 文件大小:1024
    • 提供者:乖乖虎_1
  1. lab1

    0下载:
  2. 用来驱动数码管显示,decoder, instantiation(display decoder instantiation)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:229376
    • 提供者:nihaoabb
  1. VHDL (2)

    0下载:
  2. 数码管显示很好用的VHDL语言很实用下载试试(Digital tube display)
  3. 所属分类:其他

    • 发布日期:2018-01-09
    • 文件大小:917504
    • 提供者:情小憶
  1. 八位数码管的动态显示VHDL程序

    0下载:
  2. 7段数码管动态显示程序,高频动态显示多位数(7 segment digital tube dynamic display program)
  3. 所属分类:其他

  1. 分频显示

    0下载:
  2. VHDL实验中,实现分频与数码管显示。掌握BCD-七段显示译码器的功能和设计方法; 掌握用硬件描述语言的方法设计组合逻辑电路——BCD-七段显示译码器。(In the VHDL experiment, frequency division and digital tube display are realized.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:21229568
    • 提供者:Maggie0104
  1. 210刘俊皓实验6

    10下载:
  2. 设计并实现一个随机数生成电路每 2 秒随机生成一个 0~999 之间的数字,并在数码管上显示生成的随机数。 2、为系统设置一个复位键,复位后数码管显示自己学号的后三位数字,2秒后再开始每2秒生成并显示随机数,可以不需要防抖(A random number generating circuit is designed and implemented to generate a random number between 0 and 999 every 2 seconds, and displa
  3. 所属分类:其他

    • 发布日期:2021-04-13
    • 文件大小:251904
    • 提供者:幽浮的幽
« 12 3 »
搜珍网 www.dssz.com