CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 界面编程 搜索资源 - 移位

搜索资源列表

  1. Floating_Number

    1下载:
  2. 这是一个模拟单片机的要求,在cpu不支持浮点运算的情况下.计算精确的浮点数.本算法是把输入的字符串变成IEE754标准运算(如果要提高精确度的话,很方便).在运算过程只运用了移位,和加减运算.-This is a simulation of the single-chip microcomputer, the cpu does not support floating-point operations circumstances. the precision floating point cal
  3. 所属分类:组合框控件

    • 发布日期:2008-10-13
    • 文件大小:18774
    • 提供者:Fox
  1. shejibaogao

    0下载:
  2. 具有移位运算功能的模型机设计实验报告-shift computing with the experimental model aircraft design report! !
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:680232
    • 提供者:顾婷婷
  1. shift_register_testbench

    1下载:
  2. 16位的移位寄存器,加上testbench,可以在modelsim里面运行~-16 of the shift register and testbench, modelsim the inside running ~
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:24165
    • 提供者:yeqing
  1. shifter_for_xiaojiexu

    0下载:
  2. 可以实现IO口在微处理器上的扩展,集成了分频,移位等技术,是个很不错的程序-IO mouth can be achieved in the expansion of microprocessors and integrated sub-frequency, displacement, and other technologies is a very good procedure.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:965
    • 提供者:许杰
  1. 84_REG11

    0下载:
  2. 移位寄存器,用VHDL语言编码,可能对你用处不是很大,但做为参考还是很大用处的-shift register using VHDL coding, you may not have much use, But as a reference or very useful
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1856
    • 提供者:jinlong
  1. SC-DSC

    2下载:
  2. 数字通信系统的设计及其性能和所传输的数字信号的统计特性有关。所谓 加扰技术,就是不增加多余度而扰乱信号,改变数字信号的统计特性,使其近 似于白噪声统计特性的一种技术。这种技术的基础是建立在反馈移位寄存器序 列(伪随机序列)理论之上的。解扰是加扰的逆过程,恢复原始的数字信号。 如果数字信号具有周期性,则信号频谱为离散的谱线,由于电路的非线 性,在多路通信系统中,这些谱线对相邻信道的信号造成串扰。而短周期信号 经过扰码器后,周期序列变长,谱线频率变低,产生的非线性分量落入相邻信 道之外,因此干扰
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:113625
    • 提供者:葛岭泉
  1. ShiftLiner

    0下载:
  2. 该程序用于实现密码学中的线性反馈移位寄存器的模拟,本程序以5级为例,并可以判断输出数列的周期
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1005
    • 提供者:唐扬
  1. Afixed-pointbasecomplementdivider

    0下载:
  2. 由寄存器,全加器,移位寄存器,计数器,触发器和门电路构成补码一位除法器,将开关设定的补码形式出现的除数,被除数存入相应寄存器中.能用单脉冲按步演示运算全过程.
  3. 所属分类:其它

    • 发布日期:2014-01-16
    • 文件大小:143809
    • 提供者:JOE
  1. mretrn

    0下载:
  2. 实现m序列的输入输出转化,移位寄存器在通信方面的运用
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2481
    • 提供者:紫菀
  1. 0000

    0下载:
  2. 8051 串行接口是一个可编程的全双工串行通讯接口。它可用作异步通讯方式(UART),与串行传送信息的外 部设备相连接,或用于通过标准异步通讯协议进行全双工的8051 多机系统也可以通过同步方式,使用TTL 或CMOS 移位寄存器来扩充I/O 口。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:189025
    • 提供者:张力伟
  1. rs232

    0下载:
  2. 8051 串行接口是一个可编程的全双工串行通讯接口。它可用作异步通讯方式(UART),与串行传送信息的外 部设备相连接,或用于通过标准异步通讯协议进行全双工的8051 多机系统也可以通过同步方式,使用TTL 或CMOS 移位寄存器来扩充I/O 口。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:266633
    • 提供者:张力伟
  1. 8

    1下载:
  2. 移位相加8位硬件乘法器电路设计 乘法器是数字系统中的基本逻辑器件,在很多应用中都会出现如各种滤波器的设计、矩阵的运算等。本实验设计一个通用的8位乘法器。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:5487
    • 提供者:jun
  1. 工具栏左右移位戴移位三角号

    0下载:
  2. 该工具栏代码例子提供了一个在工具栏上创建左右移动按钮,通过点击该按钮可以左右移动工具栏上按钮位置,这样可以创建一个比本身长度大的按钮个数,通过移动按钮显示当前在工具栏上显示的按钮。-the toolbar code examples provided in a toolbar button to create and move around, click on the button and move around a toolbar button positions, so it can est
  3. 所属分类:工具条

    • 发布日期:2008-10-13
    • 文件大小:28837
    • 提供者:张连成
  1. led

    0下载:
  2. 本实验完成发光二极管的循环点亮实验,由于输入晶振为20M,分频得到count1信号,故每间隔约1S彩灯循环移位一次。 也可以外接32768hz的晶振经4060分频后的1HZclk输入,自己可以尝试改变实验,加以练习。 现象:可以看到流水灯
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:202078
    • 提供者:liupeinan
  1. HexCalc.rar

    0下载:
  2. 十六进制计算器,包括加减乘除和移位等位运算,Hexadecimal calculator, including the addition and subtraction and multiplication and division, such as bitwise shift
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-01
    • 文件大小:11246
    • 提供者:zzz
  1. crypt

    0下载:
  2. 实现三种加密解密算法:1、 简单的移位算法;2、 基于矩阵的置换算法;3、 Des算法;-Encryption and decryption algorithm for the realization of the three: 1, a simple shift algorithm 2, the replacement algorithm based on the matrix 3, Des algorithm
  3. 所属分类:GUI Develop

    • 发布日期:2017-05-09
    • 文件大小:2024022
    • 提供者:空凌
  1. Bayer

    0下载:
  2. 我的课程作业,通过移位操作,利用贝叶斯算法,对图像进行抖动-My course work, through the shift operation, the use of Bayesian algorithm, image jitter
  3. 所属分类:Menu control

    • 发布日期:2017-05-16
    • 文件大小:4286138
    • 提供者:xw
  1. 4.tongbuhuchi

    0下载:
  2. 本实验是根据老师给的课件完成的,整个实验的思路是这样的:先设计三中文件读写模式,每种读写模式中首先从源文件中读取数据,然后在对数据进行某些操作,比如:移位等,接着再写到目标文件中。由于每次读写所用的时间具有一定的随机性,为了比较准确地比较各种模式的读写时间,程序中对每种读写模式都进行了十次操作,然后再得到每种模式的平均读写时间,之后再进行比较。-The experiment is based on the teacher to complete the courseware, the whole
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-16
    • 文件大小:19779
    • 提供者:谢振宇
  1. LEDScreenDemo

    0下载:
  2. 类似于LED的数字显示。可以进行左移位和右移位。可以在此程序基础上添加其他的数字和字符。-Similar to the LED digital display. Left shift and right shift. You can add this program on the basis of numbers and characters.
  3. 所属分类:EditBox

    • 发布日期:2017-04-16
    • 文件大小:76235
    • 提供者:钱亮
  1. SHIFT8

    0下载:
  2. 8位移位寄存器,利用元件例化,调用D触发器。-8-bit shift register using component instantiation, calling the D flip-flop.
  3. 所属分类:ToolBar

    • 发布日期:2017-11-04
    • 文件大小:2928
    • 提供者:mashasha
搜珍网 www.dssz.com