CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 界面编程 搜索资源 - mealy

搜索资源列表

  1. MEALY

    0下载:
  2. MEALY状态机的输出是现态和输入的函数.在SRAM控制器状态机中,写有效WE不仅和WRITE状态有关,还和总线命令WRITE_MASK有关.这样,输出WE信号按设计要求表示为现态WRITE和现态输入WRITE_MASK的函数.本程序基于VHDL,开发环境为MAXPLUS2
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:29875
    • 提供者:weixiaoyu
搜珍网 www.dssz.com