CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 通讯编程 搜索资源 - frequency counter verilog

搜索资源列表

  1. Freq_counter_ise12migration

    0下载:
  2. 用verilog实现的一个频率计数器,可分别在不同的频率下计数(自己设定),里面有几个有用的小模块,分频,计数,显示,同步,进位等-Verilog to achieve a frequency counter, respectively, in different frequency count (set), there are several useful modules, divide, count, display, synchronization, binary, etc.
  3. 所属分类:Communication

    • 发布日期:2017-11-16
    • 文件大小:88248
    • 提供者:曾俊
搜珍网 www.dssz.com