CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 其他小程序 搜索资源 - 模60计数器

搜索资源列表

  1. BCD

    0下载:
  2. 模为 60 的 BCD码加法计数器,采用verilog语言编写。-BCD code module for the addition of 60 counters, using verilog language.
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:634
    • 提供者:kevin
  1. one_to_sixty

    0下载:
  2. 模60计数器,从一开始计数到六十,代码简单实用-60 die counter starts counting one to sixty, the code is simple and practical
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-07
    • 文件大小:1100704
    • 提供者:huzhiming
  1. 模60计数器

    0下载:
  2. 基于basys2的模60计数器设计,语言verilog(Design of module 60 counter based on basys2, Language Verilog)
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:10240
    • 提供者:嘻嘻嘻1234
  1. timer_se

    0下载:
  2. 数字时钟可以显示分、秒,并通过按键进行复位;数字时钟由四个基本模块组成,顶层模块、分频模块、计数模块、译码显示模块。(1)分频模块 分频器将开发板提供的6MHz时钟信号分频得到周期为1s的控制信号,控制计数器改变状态。(2)计数模块:秒钟和分钟利用两个模60的BCD码计数器实现。计数器分为高4位与低4位分别控制低4位每秒钟加1,变化状态为0~9,低4位状态变化到9时,高4位加1,变化状态为0~5。秒钟计数达到59时,分钟低四位从1开始,每59秒加1,低4位状态变化到9时,高4位加1,变化状态为0
  3. 所属分类:其他

搜珍网 www.dssz.com