CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 其他小程序 搜索资源 - VHDL 频率计

搜索资源列表

  1. shiyan6

    0下载:
  2. 一个8位的十进制频率计数器,功能经过测试.-An 8-bit decimal frequency counter, function tested.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:1305
    • 提供者:何情
  1. EDAkechengsheji

    0下载:
  2. 实现6位频率计,防止数据溢出,并对频率进行三分频-Frequency to achieve 6 to prevent data overflow, and one-third of the frequency band
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:208061
    • 提供者:fengin
  1. EDA

    1下载:
  2. 以前学EDA的时候做过的四个小程序,分别是24/12小时制数字钟、数字频率计、乐曲播放电路、多人智力竞赛抢答器-EDA previously done when the four small procedures are 24/12 hour digital clock, digital frequency meter, circuit music players and many more devices quiz Answer
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:461667
    • 提供者:王宇
  1. digitalfrequencymeter

    0下载:
  2. 介绍了基于VHDL开发的数字频率计 从方案到结果-digital frequency meter
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:203761
    • 提供者:wxxwzf
  1. cepin

    0下载:
  2. 基于等精度测频法的频率计测频模块,用VHDL 编写,在QUARTUS里面编译成功的-Such as precision frequency measurement method based on the frequency meter measuring frequency module, using VHDL written inside the compilation of success in the QUARTUS
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:828
    • 提供者:梁梁
  1. counter

    0下载:
  2. 频率计,自动记录信号波形,宽频,四位,自动换挡-Frequency counter, automatic recording signal waveform, broadband, 4, auto-shift
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:138489
    • 提供者:那唐
  1. Project_of_DDS

    0下载:
  2. 基于VHDL硬件描述语言的数字频率计设计-Project_of_DDS
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:3689
    • 提供者:王显海
  1. frequencycounter

    0下载:
  2. 一个简单大家容易看的懂的频率计设计程序,可以实现自动换挡功能。-A simple and easy to see to understand all of the frequency counter design program that can automatically shift feature.
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:1851
    • 提供者:zhangliang
  1. counter_bcd7

    0下载:
  2. bcd十进制计数器,用于频率计设计的计数器单元,输出zeros用于选通量程使用!-bcd decimal counter, the counter for frequency counter design unit, the output zeros for the use of strobe range!
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:525
    • 提供者:jim
  1. aa

    0下载:
  2. 数字频率计VHDL程序-Digital frequency meter VHDL program
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:1614
    • 提供者:黄濡
  1. pinlvji

    0下载:
  2. 基于VHDL的数字频率计的设计-VHDL-based design of digital frequency meter
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:123254
    • 提供者:黄濡
  1. COUNT

    0下载:
  2. vhdl编写的数字频率计,可以实现对输入波的频率计数-vhdl prepared by of the digital frequency meter
  3. 所属分类:Other systems

    • 发布日期:2017-11-08
    • 文件大小:3456770
    • 提供者:陈熠日
  1. Frequency

    0下载:
  2. 用VHDL语言实现频率计功能,加载到FPGA可以立即实现-With VHDL frequency counter function can be realized immediately loaded into the FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:1510
    • 提供者:张中
  1. plj_top

    0下载:
  2. 自己写的一个频率计程序,用的是VHDL语言,功能已经实现,结果用8位数码管显示,精度达到小数点后3位,值得初学者看一看,测频原理是测周法-To write a frequency meter program, using VHDL language function has been achieved, the results with 8 digital display, accuracy of three decimal places, it is worth a look for begi
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:3191232
    • 提供者:HUAJUN
  1. shuzipinlvji

    0下载:
  2. 1.用VHDL完成12位十进制数字频率计的设计及仿真。 2.频率测量范围:1Hz~10KHz,分成两个频段,即1~999Hz,1KHz~10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。 3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。 4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 -1 completed 12 with VHDL design and simulation of d
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:312781
    • 提供者:123
  1. Digital-frequency-meter

    0下载:
  2. 数字频率计:1.用VHDL完成12位十进制数字频率计的设计及仿真。 2.具有自动校验和测量两种功能。 3.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 -Digital frequency meter: 1 with 12 complete VHDL design and simulation decimal frequency meter. 2. With automatic calibration and measurement two functi
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:10213
    • 提供者:满文彦
  1. 频率计数码管显示_QII视频讲解

    0下载:
  2. 频率计数码管显示_QII视频讲解 用VHDL语言写的频率计(Frequency meter, digital display, _QII video explanation, written in VHDL language frequency meter)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:3401728
    • 提供者:一战神一
  1. 频率计

    0下载:
  2. quartusii 和vhdl语言利用四位频率计设计,(Four bit frequency meter design)
  3. 所属分类:其他

  1. kebenchengxu

    0下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,同步计数器,序列检测器的设计,序列信号发生器,一般状态机等等。(The small program of some textbooks. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 Line 8 line -3 encoder, -3
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:40960
    • 提供者:girl_lily
  1. plj

    1下载:
  2. 使用vhdl语言原件例化设计数字频率计,并用6位7段数码管计数。模块包括:十进制计数器,6位10进制计数器,Reg24 锁存器、Fp 分频器、Ctrl 频率控制器、Disp 动态显示。(The digital frequency meter is designed by using VHDL language as an example and counted by 6-bit 7-segment digital tube. Modules include: decimal counter, 6
  3. 所属分类:其他

    • 发布日期:2019-12-13
    • 文件大小:11264
    • 提供者:贵阳余文乐
« 12 »
搜珍网 www.dssz.com