CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 其他小程序 搜索资源 - moore machine

搜索资源列表

  1. xu_lie_jian_ce_qi

    0下载:
  2. 本设计通过Moore状态机设计一序列检测计。当输入的序列含有预置的11100101序列中的正确顺序时,进入下一个状态,直到到达st8状态,一个序列检测完毕。值得注意的是,当输入为111100101时,检测计仍能检测出里面的11100101序列,同时,当一个序列检测完毕时,下一个序列的高位可以只含有两个11即输入为1100101时,检测计一样能检测一个正确的序列。-The design by Moore state machine to design a sequence of the detec
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:304412
    • 提供者:刘睿阳
  1. example2

    0下载:
  2. 此代码硬件开源代码,代码实现摩尔状态机的功能,值得参考-This code is open source hardware, code Moore state machine functions, it is also useful
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:896
    • 提供者:huhao813
  1. Moore-type-sequence-detector

    0下载:
  2. 基础实验_有限状态机:Moore型序列检测器-Experimental basis _ finite state machine: Moore-type sequence detector
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:263622
    • 提供者:cheguangning
  1. moore101

    0下载:
  2. 在计算理论中,摩尔型有限状态机(英语:Moore machine)是输出只由当前状态自己(不直接依赖于输入)确定的有限状态自动机。摩尔型有限状态机的状态图对每个状态包含一个输出信号,相对于米利型有限状态机,它映射机器中的“转移”到输出。moore101-In the theory of computation, a Moore machine is a finite-state machine whose output values are determined solely by its cu
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:534
    • 提供者:杨宇
  1. soda_machine_mealyamoore

    0下载:
  2. soda_machine的一个有限状态机,用verilog描述,分别有moore和mealy,还提供了testbench.-soda_machine of a finite state machine, with verilog descr iption, respectively, moore and mealy, also provides a testbench.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-14
    • 文件大小:2846
    • 提供者:LHX
  1. kebenchengxu

    0下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,同步计数器,序列检测器的设计,序列信号发生器,一般状态机等等。(The small program of some textbooks. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 Line 8 line -3 encoder, -3
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:40960
    • 提供者:girl_lily
搜珍网 www.dssz.com