CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 系统编程 搜索资源 - VHDL 计数器

搜索资源列表

  1. 60counter

    0下载:
  2. 60进制计数器,其功能是实现60进制计数的vhdl语言
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:1749
    • 提供者:史乐
  1. Timer

    0下载:
  2. 基于vhdl的电子时钟,其中包括六进制计数器和十进制计数器。-VHDL-based electronic clock, including six hexadecimal decimal counters and counters.
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-04
    • 文件大小:111348
    • 提供者:kathy
搜珍网 www.dssz.com