CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 通讯/手机编程 搜索资源 - i2c vhdl

搜索资源列表

  1. iic_vhdl

    0下载:
  2. iic总线控制器VHDL实现 -- VHDL Source Files: i2c.vhd -- top level file i2c_control.vhd -- control function for the I2C master/slave shift.vhd -- shift register uc_interface.vhd -- uC interface function for an 8-bit 68000-like uC u
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:889991
    • 提供者:benny
  1. i2c

    0下载:
  2. i2c 一个基于 对 EEPROM 读写数据的数据接口-i2c interface
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-30
    • 文件大小:19069
    • 提供者:linhai
  1. I2C

    0下载:
  2. This the source code for I2C(Inter Integreted Circuit) which is used in serial communication-This is the source code for I2C(Inter Integreted Circuit) which is used in serial communication
  3. 所属分类:Com Port

    • 发布日期:2017-04-03
    • 文件大小:21238
    • 提供者:Krupesh
  1. i2c

    0下载:
  2. 用VHDL和Verilog语言编写的总线的源程序,从开源网站上下载下的,希望对大家有用-Using VHDL and Verilog source code written in the bus, from the open-source Web site to download the next, and hope for all of us
  3. 所属分类:Parallel Port

    • 发布日期:2017-05-10
    • 文件大小:2460390
    • 提供者:匡匡
  1. i2cBUS

    0下载:
  2. I2C总线是一种非常常用的串行总线,它操作简便,占用接口少。本程序(verilog hdl)介绍操作一个I2C总线接口的EEPROM AT24C02 的方法,使用户了解I2C总线协议和读写方法。-The I2C bus is a very common serial bus, it is simple, occupy less interface. This program (verilog HDL) introduced operating a AT24C02 EEPROM of I2C
  3. 所属分类:Com Port

    • 发布日期:2014-11-19
    • 文件大小:549971
    • 提供者:lipuran
  1. I2C

    0下载:
  2. I2c串口程序,实现I2c串口控制器功能,需要和epprom模块、信号发生器联合使用,该程序有应带信号功能。-I2c serial program achieve I2c serial controller functionality, needs and epprom modules, signal generators used in combination, the program has to be with a signal function.
  3. 所属分类:Com Port

    • 发布日期:2017-03-30
    • 文件大小:1997
    • 提供者:朱朱
  1. i2c_latest.tar_1

    0下载:
  2. I2C的OPEN CORE 的代码,很使用,可以直接改参数-I2C open core ip。verilog
  3. 所属分类:Com Port

    • 发布日期:2017-05-03
    • 文件大小:1486334
    • 提供者:chen
  1. prwgrfsscollterminal

    0下载:
  2. iic总线控制器VHDL实现 -- VHDL Source Files i2c vhd -- top level file i2c_()
  3. 所属分类:串口编程

    • 发布日期:2018-05-03
    • 文件大小:687104
    • 提供者:wzoppy
搜珍网 www.dssz.com