CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 交通灯控制器

搜索资源列表

  1. jiaotongdeng

    0下载:
  2. 并行接口8255,定时/计时器8253,中断控制器8259A与计算机相连,通过8253产生1Hz的方波,并编程得到计时器,然后利用8259的中断功能和8255的并口输出数据功能做了一实时操作系统,来模拟十字路口交通灯的模拟-8255 parallel interface, timer/timer 8253, 8259A interrupt controller connected to a computer, by 8253 produce 1Hz square wave, and progra
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-16
    • 文件大小:37927
    • 提供者:王琦
  1. rgy

    0下载:
  2. 交通灯信号控制器用于主干道与支道公路的交叉路口,要求是优先保证主干道的畅通。因此,平时处于“主干道绿灯,支道红灯”状态,只有在支道有车辆要穿行主干道时,才将交通灯切向“主干道红灯,支道绿灯”,一旦支道无车辆通过路口,交通灯又回到“主干道绿灯,支道红灯”的状态。-Traffic signal controller to the main road intersection with Bypass Road, requested a priority to ensure the smooth flo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:690
    • 提供者:徐子孑
  1. PLD

    0下载:
  2. 实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器和单片机等方案来实现-To achieve control of intersection traffic signal systems are many ways you can use the standard logic devices, programmable logic controllers and other programs to achieve SCM
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:56878
    • 提供者:王大富
  1. 59-Trafic-Lamp

    0下载:
  2. 本系统由单片机系统、键盘、发光二极管、交通灯演示系统组成,单片机作为主控制器用于十字路口的车辆及行人的交通管理,每个方向具有左拐、右拐、直行及行人4种通行指示灯,计时牌显示路口通行转换剩余时间。另外,在特种车辆如119、120通过路口时,系统可自动转为特种车辆放行,其他车辆禁止通行的状态,15s后系统自动恢复正常管理。并有手动控制分时段 84s与60s通行管理转换等功能。 -The system consists of microcontroller system, keypad, LED,
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:37229
    • 提供者:wang junliang
  1. trafficlight

    0下载:
  2. 交通信号控制器的VHDL设计 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1) 交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2) 交通灯红变绿是直接进行的,没有间隔时间; (3) 主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4) 在任意时间,显示每个状态到该状态结束所需的时间 -Traffic signal controller VHDL design design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1317406
    • 提供者:陈仲海
  1. Traffic-light-control-system

    0下载:
  2. 城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。而交通信号灯是我们常见的交通管理系统。 本方案要求利用SPCE061A为主控制器,配合交通灯模组V3.0设计的交通信号灯控制系统。-City traffic control system is used for city traffic data monitoring, traffic signal control and traffic management
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1984425
    • 提供者:
  1. Traffic-Light-Control-VHDL

    0下载:
  2. 实现东西南北四向交通灯控制。。1.东西主干道、南北支干道方向各有一组红,黄,绿灯用于指挥交通,主干道东西方向红、黄、绿灯的持续时间分别为30s,5s,50s;支干道南北方向红、黄、绿灯的持续时间分别为50s,5s,30s。 2.当有紧急情况(如消防车)时两个方向均为红灯亮,计时停止,数据清零,当特殊情况结束后,控制器恢复原来状态,正常工作。 3.以倒计时方式显示两个方向允许通行或禁止通行的时间。 -traffic light controller..VHDL ..Altium Desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:838525
    • 提供者:陈飞
  1. controler

    0下载:
  2. 交通灯的控制器设计,控制红,绿,蓝,三种颜色,亮,灭,分别由三个定时器控制。- The traffic light controller design, control the red, green, blue, three kinds of color, light, destroy, respectively by three timer control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:59665
    • 提供者:春霞
  1. trafficlight

    0下载:
  2. 基于VHDL的十字路口交通灯控制系统设计与实现,定时器模块由25S、5S、20S三个定时器组成,分别确定相应信号灯亮的时间。三个定时器采用以秒脉冲为时钟的计数器实现。eg、ey、er分别是三个定时器的工作使能信号,tm25、tm5、tm20是三个定时器的计数结束指示信号。 控制模块是对系统工作状态的转换进行控制,根据交通规则可得系统状态转换情况。ar、ay、ag br、by、bg分别表示由控制器输出的A道和B道的红、黄、绿信号灯亮的时间;eg、ey、er分别表示由控制器输出的控制25S、5S
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:185135
    • 提供者:蔡利波
  1. VHDL_Traffic-Light

    0下载:
  2. 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1) 交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2) 交通灯红变绿是直接进行的,没有间隔时间; (3) 主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4) 在任意时间,显示每个状态到该状态结束所需的时间。 -The design task: simulate the crossroads of the working process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:763943
    • 提供者:
  1. VHDL

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。-And select and use Descr iption Language applying broader VHDL hardware circuit at present in capital being designed, the hardware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:265860
    • 提供者:陈金峰
  1. Traffic-lights-contral

    0下载:
  2. 交通灯的控制器程序,能够控制交通灯的不同颜色的显示时间。-Traffic light controller program can control the display of the different colors of traffic lights.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:55272
    • 提供者:巩善明
  1. AVR--light-control-system-

    0下载:
  2. 本设计采用AVR单片机为主控制器实现了对交通灯系统的控制。系统不仅能够提供常规的交通灯定时切换,而且能够在液晶上显示时钟,具备现场调时,调日期及在特定时段实现特殊功能。该系统工作稳定、LCD显示清晰直观、计时准确,比较理想的实现了设计指标的要求。除此以外,该系统还能够进行简单的单片机实验,包括程序下载、流水灯等。-This design uses the AVR microcontroller-based controller for the control of traffic signal
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:17989
    • 提供者:
  1. KeilC

    1下载:
  2. 基于单片机的交通灯信号控制器设计,keil程序,包括c文件,hex文件-Microcontroller based traffic light signal controller design, keil program, including the c file, hex files, etc.
  3. 所属分类:SCM

    • 发布日期:2017-11-13
    • 文件大小:25897
    • 提供者:邓毅
  1. SCM-traffic-light

    0下载:
  2. 利用单片机完成交通信号灯控制器的设计,该交通信号灯控制器由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。-SCM traffic light
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-22
    • 文件大小:7346
    • 提供者:霍云霞
  1. jiaotongdeng

    0下载:
  2. 交通信号灯自动控制器,能下载到FPGA开发板,自动交通灯控制程序,由VHDL编写,环境为QUTUS2-Traffic signal controller, can be downloaded to the FPGA development board, automatic traffic light control procedures, written by VHDL environment QUTUS2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:1807983
    • 提供者:dengnana
  1. TrafficLight

    0下载:
  2. 通过硬件描述语言VHDL编程,实现交通灯功能,要求如下:   ① 车辆传感器(C),检测车辆通行情况,用于主干道的优先权控制; ② 主干道公路路口安装有人员通过请求按钮(PQ),一旦有请求信息,控制器应按放行处理,否则按默认方式处理; ③ Online控制信号由交通控制中心发出,(Online=1)一旦它有效,则主干道放行,十字交叉路口控制器“失效”,Online=0十字交叉路口控制器恢复控制权;   ④ 当次干道公路无车时,始终保持次干道公路红灯亮,主干道绿灯亮; ⑤ 当次
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8922230
    • 提供者:韩彩英
  1. traffic-light

    0下载:
  2. 该交通信号灯控制器用于控制一条主干道与一条乡村公路的交叉口的交通(如图8-1所示),它必须具有下面的功能;由于主干道上来往的车辆较多,因此控制主干道的交通信号灯具有最高优先级,在默认情况下,主干道的绿灯点亮;乡村公路间断性地有车经过,有车来时乡村公路的交通灯必须变为绿灯,只需维持一段足够的时间,以便让车通过。只要乡村公路上不再有车辆,那么乡村公路上的绿灯马上变为黄灯,然后变为红灯;同时,主干道上的绿灯重新点亮;一传感器用于监视乡村公路上是否有车等待,它向控制器输入信号X;如果X=1,则表示有车等
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:730
    • 提供者:杜松
  1. traffic_control2

    0下载:
  2. 交通红绿灯管理系统。用一片HDPLD和若干外围电路实现十字路口交通管理器。该管理器控制甲乙两道的的红黄绿三色灯,指挥车辆和行人安全通行。该交通管理器是由控制器和受其控制的三个定时器及六个交通管理灯组成。-Circuit crossroads traffic manager. The manager controls the B two red-yellow-green lights, directing vehicles and pedestrians safe passage. The Tra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:91090
    • 提供者:琚清
  1. EDA-FPGA-traffic

    1下载:
  2. 该设计严格按照现实中的交通灯设计,利用vhdl硬件描述语言实现,设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2、 红、绿、黄发光二极管作信号灯,。 3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3492561
    • 提供者:刘鹏坤
« 1 2 3 4 5 6 7»
搜珍网 www.dssz.com