CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 信号调制

搜索资源列表

  1. veriloghdl-Prog-of-IR

    0下载:
  2. 采用verilogHDL语言编程,对4x4键盘进行编码并且调制成红外遥控信号,适用于可编程逻辑器件的红外遥控解码逻辑设计。-Use verilogHDL language programming, to 4 x4 keyboard encode and made the infrared remote control signal, is suitable for programmable logic devices of infrared remote control decoding log
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:130338
    • 提供者:houjihong
  1. m

    0下载:
  2. 为随机序列产生器,可以作为调制信号的信源-As the random sequence generator, can be used as a modulation signal source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:720
    • 提供者:王佳兴
  1. IR

    0下载:
  2. 基于51单片机的红外通信源码,软件产生载波和调制信号,发送DS18B20温度信号和其他信息-infrared communication
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:157549
    • 提供者:陈旭
  1. led_display_pwm

    1下载:
  2. 程序功能: PWM也就是脉宽调制,是指在周期脉冲信号频率不变的情况下,改变高电平和低电平的比例,也就是改变占空比。PWM在灯具调光,直流电机调速方面有着广泛的应用。程序使用定时器0中断模拟PWM定时,S2、S3 两个独立按键控制电机速度,为使演示结果明显,PWM输出到整个P1接口,通过板上的LED灯可以看到亮度的变化。-PWM DC motor control experimental procedure
  3. 所属分类:SCM

    • 发布日期:2017-05-03
    • 文件大小:812600
    • 提供者:张振权
  1. Positive-and-negative-pulse-width

    0下载:
  2. 1、在掌握可控脉冲发生器的基础上了解正负脉宽数控调制信号发生的原理。 2、熟练的运用示波器观察实验箱上的探测点波形。 3、掌握时序电路设计的基本思想 -Positive and negative pulse width modulation signal generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:133209
    • 提供者:漆广文
  1. Lab4

    0下载:
  2. 该实验室会议的目的是要实现一个可配置的FM-AM数字调制器的数据通路。它是由一个CIC内插滤波器及可配置的FM-AM块。调制器信号以48kHz被取样,并且由CIC内插滤波器的装置内插高达96MHz的。在FM-AM配置块适用于96 MHz的时钟-The aim of this laboratory session is to implement the data-path of a configurable FM-AM digital modulator. It is composed of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4365299
    • 提供者:张珂
  1. xinhaofashengqi

    0下载:
  2. 信号发生包括 器函数信号发生器, 正弦信号发生器, 低频信号发生器, 多功能信号发生器, 彩色电视信号发生器,, 高频信号发生器, 脉冲信号发生器, 数字信号发生器, dds信号发生器,...... 发生各种类信号供调试、检测、调制等生产、科研、教学之用。-信号发生包括 器函数信号发生器, 正弦信号发生器, 低频信号发生器, 多功能信号发生器, 彩色电视信号发生器,, 高频信号发生器, 脉冲信号发生器, 数字信号发生器, dds信号发生器,...... 发生各种类信号供调试、
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7461666
    • 提供者:吴立
  1. PWM

    0下载:
  2. s3C2440 PWM 裸机开发,定时器裸机开发,用来产生脉宽调制信号,ADS工具开发-S3C2440 PWM bare metal development, timer bare metal development, used to generate a pulse width modulated signal, ADS development tools
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:102762
    • 提供者:yu
  1. CX3FPGA_PCM

    0下载:
  2. PCM 脉冲编码调制是Pulse Code Modulation的缩写。脉冲编码调制是数字通信的编码方式之一。主要过程是将话音、图像等模拟信号每隔一定时间进行取样,使其离散化,同时将抽样值按分层单位四舍五入取整量化,同时将抽样值按一组二进制码来表示抽样脉冲的幅值。-PCM Pulse Code Modulation is the abbreviation for Pulse Code Modulation. Pulse code modulation coding scheme is one o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3713760
    • 提供者:张涵
  1. main

    0下载:
  2. 利用51单片机去驱动AD9854模块产生0-100Mhz的正弦波,同时也可以输出各种调制信号-Use SCM to drive the AD9854 module generates 0-100Mhz sine wave, but also can output a modulation signal
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:4268
    • 提供者:徐玉华
  1. FireSearchor

    0下载:
  2. 用于将飞控的脉宽调制信号转换成数字信号,并将数字信号由串口发送出去。-For the flight control PWM signals into digital signals and digital signals by the serial port to send out.
  3. 所属分类:DSP program

    • 发布日期:2017-05-05
    • 文件大小:407073
    • 提供者:华飞
  1. 3

    0下载:
  2. pwm的实现 PWM的一个优点是从处理器到被控系统信号都是数字形式的,在进行数模转换。可将噪声影响降到最低(可以话跟电脑一样}。噪声只有在强到足以将逻辑1改变为逻辑0或将逻辑0改变为逻辑1时,也才能对数字信号产生影响。 对噪声抵抗能力的增强是PWM相对于模拟控制的另外一个优点,而且这也是在某些时候将PWM用于通信的主要原因。从模拟信号转向PWM可以极大地延长通信距离。在接收端,通过适当的RC或LC网络可以滤除调制高频方波并将信号还原为模拟形式。 PWM控制技术一直是变频技
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:1156
    • 提供者:王志方
  1. RC_FSK

    0下载:
  2. 单程序为FSK无线遥控程序,采用PIC16F630+CC1150的组合,完成无线信号的编码、调制等。-Single program for the FSK wireless remote control program, using PIC16F630+ CC1150 combination to complete the wireless signal encoding, modulation and so on.
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:6037
    • 提供者:anuni
  1. ASKMod

    0下载:
  2. ASK调制信号的verilog VHL设计,在ise中实现了ASK信号的调制解调。-ASK modulation signal verilog VHL design, in ise to achieve the ASK signal modulation and demodulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:679
    • 提供者:杨某人
  1. interpolate4

    0下载:
  2. 调制信号后4倍内插的verilog代码,用于基带成型滤波器输入数据-4 times after modulation signal interpolation verilog code, used to baseband shaping filter input data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:528
    • 提供者:右下角
  1. PWM

    0下载:
  2. 脉冲宽度调制[1](Pulse Width Modulation,缩写为PWM),简称脉宽调制,是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。脉冲宽度调制的一个优点是从处理器到被控系统信号都是数字形式的,无需进行数模转换-Pulse width modulation ( PWM ), short for PWM, is a very effective technology of the digital output of
  3. 所属分类:Embeded Linux

    • 发布日期:2017-12-11
    • 文件大小:73096
    • 提供者:顾晓娜
  1. BPSK

    0下载:
  2. BPSK信号的载波调制,包含成型滤波器,上采用器以及载波生成器。(This file provides a transmitter based on BPSK signal, including shaping filter, upsampler and carrier generator.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-20
    • 文件大小:12921856
    • 提供者:wangke
  1. 3路PWM

    0下载:
  2. PWM是一种对模拟信号电平进行数字编码的方法。3路PWM通过高分辨率计数器的使用,方波的占空比被调制用来对一个具体模拟信号的电平进行编码(PWM is an analog signal level for digital encoding method.3 road PWM through the use of high resolution counter, square wave duty ratio is modulated to encode a specific analog sign
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-12-21
    • 文件大小:495616
    • 提供者:认同感
  1. BPSK

    0下载:
  2. MATLAB仿真 BPSK 调制解调 接收机 信号波形产生发射机 通信(MATLAB simulation, BPSK modulation and demodulation, receiver signal waveform, transmitter communication)
  3. 所属分类:单片机开发

  1. FM

    1下载:
  2. 使用Verilog HDL ,FM调制信号。(Using Verilog, HDL, and FM modulation signals.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:1024
    • 提供者:LCG007
« 1 2 ... 8 9 10 11 12 1314 »
搜珍网 www.dssz.com