CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 分

搜索资源列表

  1. 基于CPLD-FPGA的半整数分频器的设计

    0下载:
  2. 基于CPLD-FPGA的半整数分频器的设计,用于设计EDA-based CPLD-half FPGA integer dividers in the design, design for EDA
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:21359
    • 提供者:胡路听
  1. 分频器VHDL描述

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号时非常重要的。-in digital circuits, the need for regular high frequency clock operating frequency for hours, a lower frequency of the clock signal. We know that the hardware circuit design clock signal i
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5460
    • 提供者:王力
  1. 占空比1:1的通用分频模块 

    0下载:
  2. 用vhdl实现占空比1:1的通用分频模块,非常实用,欢迎大家下载-use VHDL to achieve the common 1:1-frequency module, a very practical and you are welcome to download
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:805
    • 提供者:kiki
  1. 占空比1:1的通用分频模块

    0下载:
  2. 占空比1:1的通用分频模块-1:1 generic-frequency module
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:805
    • 提供者:陈平
  1. 分频器FENPIN1

    0下载:
  2. EDA中常用模块VHDL程序,不同时基的计数器由同一个外部是中输入时必备的分频函数。分频器FENPIN1/2/3(50分频=1HZ,25分频=2HZ,10分频=5HZ。稍微改变程序即可实现)-EDA VHDL modules commonly used procedure, the time - with a counter by the external input is required when the sub-frequency functions. Frequency Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3131
    • 提供者:李培
  1. C语言算法——对分查找

    1下载:
  2. 详细论述了c语言的对分查找算法!
  3. 所属分类:嵌入式/单片机编程

  1. 5分频、移相VHDL程序

    0下载:
  2. 有两端VHDL程序,5分频的和分频移相的,希望大家用的上
  3. 所属分类:VHDL编程

  1. DDS小数分频

    0下载:
  2. 文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉): DDS小数分频 ...........\Block1.vhd.bak ...........\db ...........\..\add_sub_9mh.tdf ...........\..\DDS.asm.qmsg ...........\..\DDS.asm_labs.ddb ...........\..\DDS.cbx.xml ...........\..\DDS.cmp.
  3. 所属分类:VHDL编程

  1. CPLD任意分频输出 VHDL

    0下载:
  2. CPLD任意分频输出 VHDL,调试通过
  3. 所属分类:VHDL编程

  1. 数控分频器

    0下载:
  2. 数控分频器,可自主选择分频系数
  3. 所属分类:VHDL编程

  1. 基于帧间差分的运动目标检测

    1下载:
  2. 基于帧间差分的运动目标检测
  3. 所属分类:DSP编程

  1. 分频器设计

    0下载:
  2. 设计一个带复位的分频器,输入时钟为60MHz,输出时钟为7.5MHz。
  3. 所属分类:VHDL编程

  1. 8-LED_test.LED屏的八分屏扫描

    0下载:
  2. LED屏的八分屏扫描、这个32个点的扫描程序、使用的芯片有MBI5026,74ch123,74ch138,LED screen s eight-screen scanning, the 32-point scanning procedures, the use of the chip have MBI5026, 74ch123, 74ch138
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-03-23
    • 文件大小:1834
    • 提供者:江冲
  1. FPQ.rar

    0下载:
  2. 分频器的vhdl描述,在源代码中完成对时钟信号CLK的2分频,4分频,8分频,16分频,Divider vhdl descr iption of the source code at the completion of the CLK clock signal frequency of 2 hours, 4 minutes frequency, frequency of 8 hours, 16 minutes frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1070
    • 提供者:LS
  1. 半整数分频器的实现(verilog)

    0下载:
  2. 半整数分频器的实现(verilog),本文以6.5分频为例!很实用的!,fen pin qi
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:68966
    • 提供者:吕双
  1. FPGA分频

    0下载:
  2. xilinx spant6 PLL分频,生成4个不同频率的时钟,实现LED闪烁。(xilinx spant6 PLL frequency division)
  3. 所属分类:VHDL/FPGA/Verilog

  1. vhdl分频器设计

    0下载:
  2. vhdl分频器设计,用quartus软件偏写,可进行时钟的分频。(Design of VHDL frequency divider)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:279552
    • 提供者:YXT800
  1. 分频器

    0下载:
  2. 一个简单的数字分频器,用于eda实验,电子技术综合实验(Digital frequency divider)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:10240
    • 提供者:左城梦
  1. 分频器

    0下载:
  2. 对频率实现分频,达到一种对外部的一种分频管理(realization of frequency division)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:8192
    • 提供者:MATLAB难啊
  1. 差分放大

    1下载:
  2. 差分电路 适用没有负电压 差分输入电路。此电路图为跟随并未方法,需要放大的话更改反馈电阻即可(Differential circuit is suitable for differential input circuit without negative voltage. The circuit diagram shows that there is no way to follow it. If amplification is needed, the feedback resistanc
  3. 所属分类:单片机开发

    • 发布日期:2020-08-30
    • 文件大小:125952
    • 提供者:ElvisEve
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com