CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 加减乘除

搜索资源列表

  1. 041236075

    0下载:
  2. 51单片机 加减乘除程序,汇编编写,不吝赐教!
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:2023
    • 提供者:chenzhenlin
  1. 80C51caculator

    0下载:
  2. 在Keil里面编写的可以运行于80C51单片机上的计算器程序。可以实现简单的加减乘除运算。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:13098
    • 提供者:Lee
  1. cpu

    0下载:
  2. 实现了CPU的基本功能,含加减乘除等运算的实现,VHDL版
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3719129
    • 提供者:Kakaxiseu
  1. ALU

    1下载:
  2. ALU可以实现16种操作(包括加减乘除移位运算等)
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:839228
    • 提供者:草野彰
  1. Calculator

    0下载:
  2. 多功能计算器,可以算加减乘除等,源码保证完全正确,很管用的
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2076
    • 提供者:李涛
  1. jisuanqi

    0下载:
  2. 基于HC908GP32的计算器完整程序,可以实现加减乘除四则运算。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:79977
    • 提供者:liu
  1. programmer1

    0下载:
  2. 在MCS51上实现计算器的功能模块 (完成加减乘除正余弦开方正余切E的幂和对数等的运算,使用了Cx51标准库函数中math.h)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:75539
    • 提供者:王魁
  1. C51calculater

    0下载:
  2. 基于AT89S52编写的一个计算器C程序,包括加减乘除,数码显示,内附一个频率计C程序
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:37839
    • 提供者:wenhua
  1. ADD_BCD

    0下载:
  2. PIC单片机开发的功能函数:加减乘除转换-PIC microcontroller development of the function function : the arithmetic conversion
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8770
    • 提供者:hwei
  1. simplecalculator.rar

    0下载:
  2. 在51单片机上实现4X4键盘控制的简易计算器功能.能实现加减乘除功能,51 single-chip implementation in the 4X4 on a simple calculator keyboard control functions. Calculation function to achieve
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:14901
    • 提供者:江晓颿
  1. ALU.zip

    0下载:
  2. VHDL实现cpu核心逻辑与运算单元模块的实现,完成4bit*4bit输入8bit输出的运算,可做加减乘除逻辑移位6种操作,the implementation of Arithmetic and logic unit based on VHDL, can do as the adder,subtractor,multiplier,divider,shifter and logic operation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:619981
    • 提供者:caolei
  1. C51-counter.rar

    0下载:
  2. 用C51座位CPU的计算器,具备8位数加减乘除功能。P0作为数码管的段控制,P2作为数码管位显示控制,P3控制4x4键盘阵列。,C51 as the CPU, the counter can process 8 bit numbers "+,-,*,/". P0 contro the LED and P2 for which bit,P3 oprate the keyborad
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:2079
    • 提供者:梁文梓
  1. 用VHDL编写的计算器

    3下载:
  2. 用VHDL编写的计算器:能实现简单的加减乘除四则运算 ,Prepared using VHDL Calculator: able to achieve simple addition and subtraction, multiplication and division 4 computing
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:3913
    • 提供者:邓法群
  1. 是基于arm7环境的计算器

    0下载:
  2. 是基于arm7环境的计算器,用codeworrier开发,计算器可以实现加减乘除。在液晶屏上显示,是触摸屏触发的,不是键盘。-The calculator is based on arm7 environment, with codeworrier development, Math Calculator can be achieved.
  3. 所属分类:嵌入式Linux

    • 发布日期:2012-09-14
    • 文件大小:188464
    • 提供者:CSJ
  1. sizeyunsuan

    0下载:
  2. 从键盘上输入两个一位数,通过选择输入加减乘除,选择运算-si ze yun suan de xue xi yu yingyong....
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1500
    • 提供者:li
  1. vhdl_123

    0下载:
  2. 几个简单的vhdl程序。包括加法器,减法器,乘除法等等。-A few simple vhdl program. Including the adder, subtractor, multiplication and division and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4390710
    • 提供者:fugen
  1. fudianshuyunsuan

    0下载:
  2. 介绍一组浮点数的运算代码,包括加减乘除运算的VHDL代码实现-Introduced a set of floating-point code of the operation, including addition and subtraction multiplication and division operations to achieve the VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:323472
    • 提供者:jiachen
  1. Double_FPU

    0下载:
  2. 详细介绍双精度浮点数据的格式,以及加减乘除运算的实现方法-Details of the format of double-precision floating-point data, and the realization method of addition and subtraction multiplication and division
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:96155
    • 提供者:小娟
  1. 51jsq

    0下载:
  2. 简单计算(100一内的加减乘除计算功能)简单易懂,适合初学者交流学习。-Simple calculation (100 of a calculation with the calculation function) easy-to-read, suitable for beginners learning.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:45734
    • 提供者:zyc
  1. alu

    0下载:
  2. 用VHDL实现8种运算的ALU,带鱼不带符号的加减乘除,与或异或和求反-Use VHDL to achieve the eight kinds of computing ALU, hairtail unsigned addition and subtraction, multiplication and division, with or XOR and seek anti-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:1238
    • 提供者:helen
« 1 23 4 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com