CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 十字路口

搜索资源列表

  1. red-green

    0下载:
  2. 十字路口交通灯控制 设计要求: 1:利用单片机的定时器产生秒信号,控制十字路口的红绿黄灯交替点亮和熄灭, 2:能够用4只LED数码管显示十字路口两个方向的剩余时间。要求能用按键设置两个方向的通行时间(绿灯点亮的时间)和暂缓通行时间(黄灯点亮的时间)。-Crossroads traffic light control design requirements: 1: use of single-chip timer to generate the second signal to con
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:169745
    • 提供者:甄子丹
  1. traffic

    0下载:
  2. 自动交通控制系统,设计一个具有主、支干道十字路口的交通灯自动控制芯片。 当主干道与支干道均无车辆要求通行时,主干道应保持畅通,亮绿灯,支干道亮红灯。 如果主干道无车,支干道有车,则允许支干道通行,主干道亮红灯,支干道亮绿灯。 如果主干道和支干道均有车要求通行,则两者应交替通行,并要求主干道每次通行30秒,支干道每次通行20秒,并显示剩余时间。 每次绿灯变红灯时,黄灯应先亮3秒钟,并显示绿灯和黄灯剩余时间。 -Automatic traffic control systems,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:24912
    • 提供者:李龙
  1. jtd

    0下载:
  2. 实现十字路口交通灯控制 以及数码管显示 4个交通灯 以上板验证-Crossroads traffic light control and digital display four traffic lights above board verification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:661931
    • 提供者:梁琦
  1. jiaotongdeng

    0下载:
  2. 十字路口交通管理信号灯设计与制作,是电子学课程设计,用了硬件搭建以及AHDL语言-Intersection traffic signal design and production management, e-learning courses are designed and built with the hardware AHDL language
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:2880
    • 提供者:lifen
  1. AT89C52MCU-based-trafficlights

    0下载:
  2. 基于AT89C52型单片机十字路口交通灯的设计。仿真软件是proteus与keil。有详细的程序及电路。-AT89C52 MCU-based design of traffic lights at the crossroads. Simulation software proteus and keil
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-17
    • 文件大小:85758
    • 提供者:李博文
  1. xinhaodeng

    0下载:
  2. 不错的交通灯控制程序。实现十字路口交通灯功能,有过街中断按钮和紧急过街按钮-Good traffic lights control procedures. Realize the crossroads traffic lights function, the street has interrupted the street button and emergency button
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1502
    • 提供者:环境光
  1. jiantongdeng

    1下载:
  2. 基于51单片机的交通灯设计,可以进行十字路口的交通管制,并有蜂鸣器报警。-51 microcontroller-based design of traffic lights, traffic control can be a crossroads, and a buzzer alarm.
  3. 所属分类:SCM

    • 发布日期:2015-05-18
    • 文件大小:3072
    • 提供者:黄昊
  1. jiaotongdeng

    0下载:
  2. 单片机_十字路口交通灯程序,4个方向,等待时间不等-SCM _ crossroads traffic lights, the four directions, the waiting time ranged
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:15660
    • 提供者:cuijia
  1. jiaotongdeng

    0下载:
  2. 利用VHDL语言设计一个十字路口交通灯控制器,分成两个方向,六个灯。三个灯按合理的顺序亮灭。 两个方向的灯亮时间可以进行调节。-Using VHDL design of a traffic signal controllers, divided into two directions, six lights. Three lights blinking at a reasonable order. Time light can be adjusted in both directions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:169560
    • 提供者:李一马
  1. traffic-light

    0下载:
  2. 本代码为十字路口交通灯程序,AT89C51实现,有详细的说明注释-The code for the traffic lights at the crossroads of the program, AT89C51 achieved, a detailed descr iption notes
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1664
    • 提供者:高阳
  1. code

    0下载:
  2. 通过对十字路口交通灯控制系统的设计,掌握不同进制计数归零的描述方法以 及通过信号使进程进行相互通信的方法。-Through the intersection traffic light control system design, master describes different methods to zero and the decimal counting processes via signal to communicate with each method.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:770
    • 提供者:张双图
  1. VHDL

    0下载:
  2. 有一个实际的十字路口设置有东西、南北两个方向的干道,为确保车辆安全通行,在每条干道的每个入口设置了一组两位数码管显示装置和四组红、绿、黄信号灯,分别用来指示东西方向直行、南北方向直行、东西方向转弯和南北方向转弯;同时设有紧急处理状态,数码管显示可有人工控制,并设有初始化功能。-There is a real crossroads to set something, the north-south trunk road in both directions, to ensure the safe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:935639
    • 提供者:leitao
  1. 5

    0下载:
  2. 十字路口东西方向和南北方向各有4个交通灯,控制红、绿、黄灯的亮灭,使交通顺利进行-The crossing east-west and north-south direction each have four traffic lights, control of bright red, green and yellow lights, traffic smoothly
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1103
    • 提供者:吴剑豪
  1. jtd

    0下载:
  2. 这是一个51单片机接12个发光二极管模拟十字路口的交通灯的控制系统。-Traffic light control system program
  3. 所属分类:SCM

    • 发布日期:2016-06-01
    • 文件大小:133120
    • 提供者:lfchun
  1. 2112312

    0下载:
  2. 模拟交通灯实验,实验报告 用 8255 做输出口,控制十二个发光管(4 组红绿黄灯)的亮灭,模拟十字路口交通灯 管理。-Simulated traffic light experiments report To do with the 8255 output, control twelve light tube (4 groups of red, green yellow) light off, analog crossroads traffic lights Managem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:91620
    • 提供者:wwrkrwp
  1. jiaotongdeng

    0下载:
  2. 交通灯,eda。交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车子如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。-Traffic lights, eda. Traffic lights display there are many ways, such as crossroads, T-junctions, etc., and for the same intersec
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-02
    • 文件大小:566201
    • 提供者:李爱
  1. jiaotongdeng

    0下载:
  2. 十字路口交通灯的控制,用fpga实现,verilog语言,可实现两个方向红绿黄左拐灯的控制。-Control crossroads traffic lights, with the fpga realize, verilog language, can achieve control of red, green and yellow in both directions left lamp.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:235565
    • 提供者:allen
  1. crossload-light

    0下载:
  2. 十字路口交通等的设计,内有程序。完整的包含所有的转向指示灯,带LED倒计时器希望对大家有帮助-十字路口交通等的设计,内有程序。完整的包含所有的转向指示灯,带LED倒计时器希望对大家有帮助 Design of crossroads traffic, there are procedures. Complete contains all of the steering indicating lamp, LED countdown timer with the hope that everyone
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:133793
    • 提供者:anjie
  1. jiaotongdeng

    0下载:
  2. 利用单片机模拟一个十字路口的交通灯运行,完整的基于Keil C和Proteus软件仿真。-Using single chip microcomputer simulation a crossroad traffic light running, complete based on Keil C and Proteus simulation software.
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:11388
    • 提供者:王福
  1. lab9_1_1

    0下载:
  2. 用verilog模拟一个十字路口的红绿灯。移动信息工程学院实验题-To implement a traffic light in verilog.The experiment of SMIE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:478793
    • 提供者:Wangchy
« 1 2 ... 9 10 11 12 13 1415 16 17 »
搜珍网 www.dssz.com