CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 十字路口

搜索资源列表

  1. jiaotongdeng

    1下载:
  2. 51单片机控制十字路口交通灯程序,数码管和发光二极管模拟交通灯。-51 SCM control procedures for crossing traffic lights, digital tubes and light-emitting diode simulations of traffic lights.
  3. 所属分类:SCM

    • 发布日期:2015-07-22
    • 文件大小:2053
    • 提供者:wu
  1. danpianji

    0下载:
  2. 此十字路口交通灯控制系统,分东西道和南北道,设东西道为A道,南北道为B道。规定:首先,东西路口红灯亮,南北路口绿灯亮,同时开始25s倒计时,以7段数码管显示时间。25s倒计时结束后开始5s倒计时,南北路口的绿灯闪烁,计时到最后2s时,南北路口黄灯亮。完成1次这样的循环需要30s。30s结束后,南北路口红灯亮,东西路口绿灯亮,并重新30s倒计时,依次循环。若有紧急车辆要求通过时,此系统应能禁止普通车辆,而让紧急车辆通过。 -This control system for traffic li
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:3365
    • 提供者:wuwenmig
  1. DM12

    0下载:
  2. vhdl实现的一个十字路口交通灯。上传和大家一起分享。-VHDL realization of traffic lights at a crossroads. Upload and share.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1047
    • 提供者:mr yao
  1. jtd

    0下载:
  2. 交通灯控制程序.实现十字路口的交通灯控制.使用vhdl编写,使用方便.-Traffic lights control procedures. The realization of the traffic signal controlled crossroads. The use of VHDL to prepare and easy to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:718224
    • 提供者:good
  1. Trafficlights

    0下载:
  2. 利用单片机的定时器定时,令十字路口的红绿灯交替点亮和熄灭,实现主支路路口的交通信号灯控制(尽可能保持主线的畅通,即在通常情况下,保持主线的放行,只有在支线到达时,才放行支线),同时采用LED数码管或LCD显示倒计时时间。-The use of single-chip timer timing, make the turn at the crossroads of the traffic lights lit and extinguished realize the main traffic li
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:42135
    • 提供者:zys
  1. light

    0下载:
  2. 用PLC程序编写十字路口的交通灯,实现道路交通灯的基本功能。程序简单清晰,不需要改变。-With PLC programming crossroads traffic lights, road traffic light implementation of the basic features. Clear and easy procedure, no change.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:54632
    • 提供者:huangshuangping
  1. rgy

    0下载:
  2. 用PLC程序编写十字路口的交通灯,程序实现道路交通灯的基本功能。改程序是编译成功的。可以直接用!-With PLC programming crossroads traffic lights, road traffic lights program' s basic functions. Reform process is to compile successful. Can be directly used!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-29
    • 文件大小:243235
    • 提供者:huangshuangping
  1. traffic

    0下载:
  2. 十字路口交通灯, 60个单位时间,南北红,东西绿;  10个单位时间,南北红,东西黄;  60个单位时间,南北绿,东西红;  10个单位时间,南北黄,东西红; -Traffic lights at a crossroads,  60 units of time, the North-South red, green things  10 units of time, the Nort
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:620
    • 提供者:dingdaozi
  1. JTD

    0下载:
  2. 利用单片机的定时器定时,令十字路口的红绿灯交替点亮和熄灭,实现主支路路口的交通信号灯控制(尽可能保持主线的畅通,即在通常情况下,保持主线的放行,只有在支线到达时,才放行支线),同时采用LED数码管或LCD显示倒计时时间。-The use of single-chip timer timing, make the turn at the crossroads of the traffic lights lit and extinguished achieve the main traffic li
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:441872
    • 提供者:shorty
  1. jiaotongxinhaodeng

    0下载:
  2. 十字路口交通信号灯控制器红黄绿三灯-Intersection traffic signal controller three red, yellow, and green lights
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:8039
    • 提供者:xulun
  1. Trafficlight

    0下载:
  2. 实现了南北东西式十字路口的交通灯控制,包括当有急救车通过时,禁止其他的车辆通行-Implementation of the north-south crossroads of East and West-style traffic lights control, including when the ambulance has passed, the prohibition of other vehicles
  3. 所属分类:DSP program

    • 发布日期:2017-04-14
    • 文件大小:3975
    • 提供者:李军
  1. VHDl

    0下载:
  2. 基于VHDL实现的十字路口交通灯功能,其中包括红灯,黄灯,绿灯。-VHDL-based implementation of traffic lights at the crossroads of features, including red, yellow, green.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:24846
    • 提供者:李国村
  1. CPLD

    0下载:
  2. 摘要:本文主要介绍以CPLD 芯片进行十字路口的交通灯的设计,用CPLD 作为交通灯控制器的主控芯片,采用VHDL 语言编写控制程序,利用CPLD的可重复编程和在动态系统重构的特性,大大地提高了数字系统设计的灵活性和通用性。 关键词:CPLD;VHDL;交通灯控制器 中图分类号:TP39 Abstract :This paper introduces the electronic-traffic lamp, which is based on the VHDL and is co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:35244
    • 提供者:jimmy
  1. EDAtrafficlightdesign

    0下载:
  2. 设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。-EDAtrfficlight desig
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:58144
    • 提供者:jimmy
  1. jiaotongdeng

    0下载:
  2. 十字路口交通信号灯试验,用max+plusII编程。希望对大家有用,-Test traffic lights at a crossroads, with max+ plusII programming. We hope to be useful,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4347
    • 提供者:纪海健
  1. jiAOTONGDENG

    0下载:
  2. 本实验主要模拟位于十字路口的交通灯,十字路口的交通灯分为横向和纵向两 个方向,每个方向上面的交通灯有红灯亮,黄灯亮,绿灯亮三种状态。它们之间状 态的关系如上面的表格所示。 上面各个状态是连续循环变化的,可以由状态机来实现,每两个状态之间的间 隔要在10 秒左右(实验板上面的时钟频率是50MHz)。 交通灯的三种状态用实验板上的三个LED 灯表示,两个方向一共要使用六个 LED。 -Simulation of this experiment is located at
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:522
    • 提供者:耳水山
  1. trafficlight

    0下载:
  2. 设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。-Function of the real
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:58883
    • 提供者:方小飞
  1. VHDL

    0下载:
  2. 基于FPGA交通灯控制VHDL源代码,东西,南北,主干,支干-FPGA-based control of traffic lights VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3130
    • 提供者:解密
  1. traffic_lights

    0下载:
  2. 十字路口的交通指挥信号灯,控制要求如下: (1)信号灯受一个起动开关控制,当起动开关接通时,信号系统开始工作,且先南北红灯亮,东西绿灯亮。当起动开关断开时,所有信号灯都熄灭。 (2)南北绿灯和东西绿灯不能同时亮,如果同时亮时应关闭信号灯系统,并报警。 (3)南北红灯亮维持26S。在南北红灯亮的同时东西绿灯也亮,并维持20S。到20S时,东西绿灯闪烁,闪烁4S后熄灭。在东西绿灯熄灭时,东西黄灯亮,并维持2S。到2S时,东西黄灯熄,东西红灯亮。同时,南北红灯熄灭,南北绿灯亮。 (4)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:9180
    • 提供者:陈旭
  1. VERILOGchaffic

    0下载:
  2. 用VERILOG 语言编写的十字路口交通灯程序-TRAFFIC LIGHT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3908
    • 提供者:陈超
« 1 2 34 5 6 7 8 9 10 ... 17 »
搜珍网 www.dssz.com