CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 十字路口

搜索资源列表

  1. 接口课程设计.rar

    0下载:
  2. 十字路口交通灯管理 现对交通信号灯进行管理,车辆放行3分钟后,车行道黄灯闪烁5秒钟,以警示车辆将切换红绿灯。此时人行横道仍维持红灯点亮。车行道的黄灯闪烁5秒钟后,转为人行横道行人放行1分钟,然后再转为车行道车辆放行3分钟。如此循环重复。 用发光二极管模拟信号灯,8255A实现对信号灯的控制(所用端口自定),8253的计数器2定时向实验系统主片8259A的IRQ7请求中断,以实现3分钟,5秒钟和1分钟的定时。 实验系统8253计数器2的CLK2可接OPCLK,频率为1.19318MHZ,
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:
    • 文件大小:81193
    • 提供者:
  1. 200505060050419

    0下载:
  2. 十字路口交通灯管理现对交通信号灯进行管理,车行道的黄灯闪烁5秒钟后,转为人行横道行人放行1分钟,然后再转为车行道车辆放行3分钟。如此循环重复。 用发光二极管模拟信号灯,8255A实现对信号灯的控制(所用端口自定),8253的计数器2定时向实验系统主片8259A的IRQ7请求中断,以实现3分钟,5秒钟和1分钟的定时。 实验系统8253计数器2的CLK2可接OPCLK,频率为1.19318MHZ,GATE2已接+5V,定时可采用软硬件结合相结合的方式实现。-traffic lights at a c
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:43274
    • 提供者:hzl
  1. U51asm

    0下载:
  2. 交通灯: 1. 因为本设计是交通灯的控制,所以要先了解实际交通灯的变化规律。假设一个十字路口为东西南北走向。初始状态0为东西红灯,南北红灯。然后转状态1南北绿灯通车,东西红灯。过一段时间转状态2,南北绿灯闪几次转亮黄灯,延时几秒,东西仍然红灯。再转状态3,东西绿灯通车,南北红灯。过一段时间转状态4,东西绿灯闪几次转亮黄灯,延时几秒,南北依然红灯。最后循环至状态1。 2. 双色LED是由一个红色LED管芯和一个绿色管芯封装在一起,公用负端。当红色正端加高电平,绿色正端加低电平,红灯亮;红色正端加低
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:2191
    • 提供者:孙菱蔚
  1. TRAFFICCONTROL

    0下载:
  2. 该程序是用一片HDPLD和若干外围电路实现的十字路口交通控制器,其中包含顶层图形文件和源文件以及仿真波形-the program is a HDPLD and a number of external circuits to achieve a crossroads traffic controller, these include top graphics files and source documentation and simulation waveforms
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:306281
    • 提供者:jipengfei
  1. traffic_light

    0下载:
  2. 一个用verilog编写的模拟交通灯控制的源代码。模拟在十字路口的双向交通灯。-a prepared using Verilog simulation of traffic lights to control the source code. Simulation at the crossroads of two-way traffic lights.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6137
    • 提供者:江河
  1. nclight

    0下载:
  2. 利用硬件描述语言VHDL设计交通灯电路,设计一个十字路口交通灯控制器,东西、南北方向有红灯、黄灯、绿灯,持续时间分别为45、5、40秒。-use VHDL design of traffic lights at the circuit, the design of traffic lights at a crossroads controller East and West, North-South direction of a red light, yellow light, green li
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:998
    • 提供者:空气
  1. 编写程序控制8255A可编程并行接口芯片

    0下载:
  2. 编写程序控制8255A可编程并行接口芯片,使实验台上的红、绿、黄发光二极管按照十字路口交通信号灯的规律交替发光。当按下任意键则停止运行,返回DOS。-preparation process control 8255A programmable parallel interface chip, lab tables in red, green, Huang LED traffic lights in accordance with the crossroads of the law turn lu
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2014-01-13
    • 文件大小:9170
    • 提供者:木木
  1. traffic_control

    0下载:
  2. 设计制作一个用于十字路口的交通灯控制器 有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5秒和25秒; 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原状态,继续正常运行-design a crossroads for the traffic signal controller is a group in green, yellow and red lights to direct traffic. green,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2534
    • 提供者:那锋
  1. redandyellow

    0下载:
  2. 交通灯,十字路口红绿灯的VHDL程序,绝对可用-traffic lights, traffic lights crossroads VHDL procedures, absolutely available
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:49820
    • 提供者:zhqiang
  1. c

    0下载:
  2. 单片机C语言学习好资料 前言 2 基础知识:单片机编程基础 2 第一节:单数码管按键显示 4 第二节:双数码管可调秒表 6 第三节:十字路口交通灯 6 第四节:数码管驱动 7 第五节:键盘驱动 8 第六节:低频频率计 14 第七节:电子表 17 第八节:串行口应用 17
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-16
    • 文件大小:53269
    • 提供者:华羿
  1. 十字路口交通灯控制器设计

    0下载:
  2. 使用VHDL实现十字路口交通灯控制器设计,适用于初学者-use VHDL crossroads traffic signal controller design, applicable to beginners
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29495
    • 提供者:沈燮勇
  1. 两路十字路口的交通灯控制的VHDL源码

    2下载:
  2. 两路十字路口的交通灯控制的VHDL源码,毕业设计,,Two-way traffic lights at the crossroads of the VHDL source code control, graduation design,
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:77531
    • 提供者:wang
  1. traffic-VHDL

    0下载:
  2. 最简便的交通灯控制源代码 适合VHDL初学者 十字路口红绿灯控制,数码管显示-The most simple traffic lights to control the source code for VHDL beginners crossroads traffic lights control, digital display
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:1733
    • 提供者:何柯东
  1. jiaotongdeng

    0下载:
  2. 以89c52的端口作为输出口,控制4个双色LED灯(可发红、绿、黄光),模拟十字路口交通灯管理。 -To 89c52 port as output, control four two-color LED lights (which can be red, green, yellow), simulating the management of traffic lights at a crossroads.
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:5424
    • 提供者:王平
  1. led_traffic_light

    0下载:
  2. 点阵式交通灯(采用8*8双色点阵)可模拟十字路口交通灯-Dot-matrix of traffic lights (using two-color dot matrix 8* 8) can simulate the traffic lights at the crossroads
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:1830
    • 提供者:舒俊
  1. 1212

    1下载:
  2. 目的 (1) 通过并行接口8255实现十字路口交通灯的模拟控制,进一步掌握对并行口的使用。 (2) L7、L6、L5 作为南北路口的交通灯,L2、L1、L0 作为东西路口的交通灯。编程使六个灯按交通灯变化规律亮灭。要求进行周期性重复控制; 2、基本设计要求: (1) 南北路口的绿灯、东西路口的红灯同时亮20 秒。 (2) 南北路口的黄灯闪烁3 秒,同时东西路口的红灯闪3 秒。 (3) 南北路口的红灯、东西路口的绿灯同时亮90 秒。 (4) 南北路口的红灯、同时东
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:22181
    • 提供者:吴多富
  1. plc

    1下载:
  2. 用PLC实现十字路口交通灯等模拟控制,一个很不错的自己设计的梯形图!包括设计报告,流程图等!希望对相关专业的学生有帮助。-it is very good!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:112762
    • 提供者:张明
  1. 196947shizilu

    0下载:
  2. 使用VHDL实现十字路口交通灯控制器设计,适用于初学者-The realization of the use of VHDL crossroads traffic lights controller design for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:29298
    • 提供者:uptownman
  1. jiaotongdeng

    0下载:
  2. 本设计实现了十字路口交通灯控制:倒计时和红绿灯闪亮,并且实现了proteus仿真,可以直观观察到设计实现情况,供参考!-This design enables control of traffic lights at a crossroads: the countdown and the flashing traffic lights, and realized proteus simulation, you can visually observe the realization of the
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:56812
    • 提供者:wangshenghua
  1. jiaotongdeng

    0下载:
  2. 交通灯1.设计一个十字路口的交通灯控制电路,要求 甲车道和乙车道两条交叉道路上的车辆交替 运行, 每次通行时间都设为25秒; 2.要求黄灯先亮5秒,才能变换运行车道; 3.黄灯亮时,要求每秒钟闪亮一次 。-jiaotongdeng
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:442045
    • 提供者:syh
« 12 3 4 5 6 7 8 9 10 ... 17 »
搜珍网 www.dssz.com