CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 十进制

搜索资源列表

  1. DS18B20

    0下载:
  2. MSP430单片机测温的一个子程序。单片机从DS18B20读取温度数值,然后转变为十进制数字量输出。-The MSP430 microcontroller temperature a subroutine. Microcontroller reads temperature values ​ ​ from the DS18B20, and then converted to decimal digital output.
  3. 所属分类:SCM

    • 发布日期:2017-11-30
    • 文件大小:61624
    • 提供者:庞振
  1. 8.16

    0下载:
  2. 功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --高4位进行动态显示。小数点表示是千位,即KHz。 -Function: Cymometer. Automatically according to seven decimal counting results, dynamic display automatically select valid data- 4 4. Decimal point one thousand KHz.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:100353
    • 提供者:陈伟杰
  1. 2

    0下载:
  2. (1)设计一个具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)计时器。 (2)具有手动校时、校分的功能。 (3)闹钟功能,能在设定的时间发出提醒(绿色LED灯闪烁)。 (4)能进行整点报时。从59分50秒起,每隔2秒钟绿色LED灯闪一次,连续5次,达到整点时红色LED灯闪一次。 -(1) design a ' when' , ' points' , ' s' decimal digital display (hour timer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:2174
    • 提供者:张三
  1. shuzizhong

    0下载:
  2. 无编程纯属硬件实现数字钟功能信号源用555定时器390双十进制计数器4511数码管驱动-No programming purely hardware implementation of a digital clock function source digital tube driver with 555 timer 390 pairs of decimal counter 4511
  3. 所属分类:SCM

    • 发布日期:2017-11-25
    • 文件大小:105203
    • 提供者:lixiang
  1. adc0804

    0下载:
  2. 从ADC0804 的通道IN+输入0~5V 之间的模拟量,通过ADC0804 转换成数字量在数码管上以十进制形成显示出来。-From the ADC0804' s channel IN+ analog input between 0 ~ 5V through ADC0804 conversion to digital, digital tube to decimal form is displayed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:422766
    • 提供者:李庆龙
  1. counter

    0下载:
  2. 频率计的一个模块,即计数器,六进制和十进制级联,构成六十计数器-Frequency of a module, counter, hex and decimal cascade of constitute sixty counter
  3. 所属分类:Embeded Linux

    • 发布日期:2017-11-23
    • 文件大小:738
    • 提供者:李雪
  1. shuzipinlvji

    0下载:
  2. 设计一个能测量方波信号的频率的频率计,测量的频率范围是0 999999Hz,结果用十进制数显示。 -Design a frequency meter measuring the frequency of the square wave signal, the measurement frequency range is 0 ~ In 999999Hz The results are shown in decimal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:110565
    • 提供者:miqiuso
  1. digital-frequence

    0下载:
  2. 数字频率计 具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --说 明:高4位进行动态显示。所显示的结果是数码管显示的数据乘以十的N次方;N对应发光二极管的右边点亮的第几位就是几,如果如果最右边的一个被点亮的话,频率就等于显示的 --数值乘以10的一次方。频率的测量范围是0~9,999,999HZ。-Digital frequency meter with four automatically according to the result of seven decim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:17946
    • 提供者:沈攀
  1. cnt10

    0下载:
  2. 十进制计数器 只使用信号量 非使用变量 -failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:19720
    • 提供者:wujae
  1. common

    0下载:
  2. 通用C语言,1:BCD转十进制,2:十进制转BCD,3:求数组平均值,4:数组排序-1:BCD to DEC.2:DEC to BCD.3:mean.4:sort
  3. 所属分类:SCM

    • 发布日期:2017-12-08
    • 文件大小:706
    • 提供者:刘宇飞
  1. ep1c6_11_freqtest

    0下载:
  2. 十进制计数器,以及数码管显示模块,属于FPGA基础学习,欢迎大家下载-Decimal counter, as well as the digital display module belonging to the FPGA-based learning are welcome to download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:73353
    • 提供者:川虎
  1. EDA

    0下载:
  2. 4位十进制计数器+7段数码管显示,有需要的同学可以参考一下!-4 decimal counter+7 of segment LED display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:678571
    • 提供者:陈旭纯
  1. 4

    0下载:
  2. 求1+2+…+n之和超过2000的第一个n值及其和的程序。输入n位二进制数,输出十进制数。- Seeking 1 2 ... n sum of over 2000 and its first n values and procedures. Enter the n-bit binary number, output a decimal number.
  3. 所属分类:SCM

    • 发布日期:2017-11-21
    • 文件大小:645
    • 提供者:沈力敏
  1. CAL

    0下载:
  2. 基于BCD码的十进制ALU设计,可实现加减乘除的功能-BCD to decimal ALU based design can achieve the arithmetic function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:16868
    • 提供者:任毅
  1. calculator

    0下载:
  2. 7位十进制计算器设计,可实现简单计算式的计算,内附testbench文件-Seven decimal calculator designed to achieve a simple calculation formula, enclosing testbench file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-12
    • 文件大小:19823540
    • 提供者:任毅
  1. CK_SHUMAGUAN

    0下载:
  2. 用kevi4写的 串口发送两位十六进制数码管显示十进制-With kevi4 write the serial transmit two decimal hexadecimal digital display
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:972378
    • 提供者:朱浩
  1. MCS51_uCOC_II

    0下载:
  2. 1。在文件OS_CORE.C中OSMapTbl和OSUnMapTbl数组用于查表,所以应该放在code里。 增加code关键字。UCOS_II.H和OS_CORE.C。 2。OS_CFG.H堆栈大小MaxStkSize改为900。 3。OS_CPU_C.C的InitTimer0函数增加了关于开T0中断的解释。 允许T0中断,此时EA=0(51上电缺省值),中断还不会发生,满足在OSStart()前不产生中断的要求。 4。修改中断处理流程,以便严密监视中断
  3. 所属分类:uCOS

    • 发布日期:2017-03-25
    • 文件大小:67444
    • 提供者:by
  1. VHDL_ReversibleCounter

    0下载:
  2. 可逆计数器(两位十六进制,以十进制方式显示即从00,01数到14,15然后00,01再到根据10hz晶振(低频都可选,视板子情况而定)作为时间脉冲计数,rst键可以重置(清零 )计数器,drct键选择加法计数还是减法计数.-2-bit-Hexadecimal Reversible Counter(decimal display)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1151
    • 提供者:杨联开
  1. 5

    0下载:
  2. 现在有两个无符号数12345678和98764322分别存放在30H以及40H开始的内部RAM中,用编程方式计算两个数之和,并将结果以十进制数的形式存放在50H开始的内部RAM中,注意低地址单元存放低字节-There are two unsigned numbers 12345678 and 98764322 30H and 40H are stored in internal RAM beginning, with the programming and calculated the numb
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:5689
    • 提供者:shenyifeng
  1. siweijishu

    0下载:
  2. verilog 四位十进制计数器 已经仿真正确-verilog four decimal counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:299336
    • 提供者:water
« 1 2 ... 15 16 17 18 19 2021 22 23 24 25 »
搜珍网 www.dssz.com