CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 十进制

搜索资源列表

  1. Seven-Segment-Decoder

    0下载:
  2. 用VHDL语言在FPGA上实现将十进制bcd码转换成七段led显示码-FPGA using VHDL language to achieve will be converted to decimal bcd yards led seven segment display code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:533
    • 提供者:吴金通
  1. 2cout10

    0下载:
  2. 二位十进制计数器,详细的代码和仿真,并且有VHDL代码和原理图设计-2 decimal counter, the detailed code and simulation, and has VHDL code and schematic design of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:159477
    • 提供者:dingdus
  1. AD

    0下载:
  2. AVR单片机程序,主要控制AD采样模块,采样值转换十进制值,并显示在数码管上。采样有上限跟下限,超过限制报警。-AVR microcontroller program, the main control module AD sampling, sample value is converted to decimal values, and display in the digital pipe. Sampling a cap with the lower limit exceeds the li
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:1905
    • 提供者:sky
  1. DDS

    0下载:
  2. VHDL经典设计 十进制 VHDL 频率计-VHDL classic design metric VHDL frequency counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:277768
    • 提供者:刘思行
  1. Keyboard

    0下载:
  2. 1、开始运行时 指示灯7亮,等待键盘按键 2、按下"F"键进入运行状态,指示灯7闪烁 * 3、在指示灯7闪烁状态,按“0-9”之一键,指示灯3-0 * 显示对应值(以二进制表示,1亮,0暗,例如:110(十进制3) 则指示灯2、1亮,指示灯0灭),按“A-F”之一键,指示灯3-0灭。-1, starts running when the indicator light 7 light, waiting for the keyboard button 2, press the
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3142
    • 提供者:veshine
  1. zhongduan

    0下载:
  2. 通过P1.0端口以1HZ的频率输出信号,使用该信号点亮一个LED指示灯, 并将信号接入到INT1,统计中断的次数。 将中断的次数通过两个七段数码管采用十进制显示出来。当超过99次后,清零并 重新计数。-1HZ by P1.0 port to the frequency output signal, using the signal light an LED indicator, and signal access to the INT1, statistics the number of
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:38908
    • 提供者:忘了
  1. shuzizhongsheji

    0下载:
  2. s1. 所设计数字钟具有“时”、“分”、“秒”的十进制数字显示(小时从00~23)。 2. 可以进行手动校时、校分功能。 3. 能进行整点报时。从59分51秒开始每隔2秒钟连续发出四次低音“嘟。嘟、嘟、嘟”,,最后一次发出高音“嗒”。此信号响起时即达整点。 -you can see see
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:160806
    • 提供者:江畔
  1. h

    0下载:
  2. 用VHDL硬件编程语言实现两位十进制数的四则运算,对VHDL语言的学习有进一步的认识。-VHDL hardware programming language used to achieve two decimal numbers 4 operation, the VHDL language, learning and further understanding.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:5736
    • 提供者:cherry
  1. a

    0下载:
  2. 将两个多位十进制数相加,要求被加数和加数均以ASCII码形式各自顺序存放在DATA1和DATA2为首的5个内存单元中。-More than the sum of two decimal require summand and additives are the order of ASCII codes stored in the form of their respective DATA1 and DATA2, led by five memory modules.
  3. 所属分类:DSP program

    • 发布日期:2017-04-08
    • 文件大小:4989
    • 提供者:罗臻
  1. counter10

    0下载:
  2. 这是一个十进制的计数器哦,是用vhdl语言开发出来的 是一个不错的十进制计数器-This is a decimal counter Oh, is vhdl language developed is a good decimal counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:28628
    • 提供者:朱迁虎
  1. reg4b

    0下载:
  2. 这是一个4位的锁存器 一般适用于4位十进制计数器上-This is a 4-bit latch generally apply to 4-bit decimal counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:18552
    • 提供者:朱迁虎
  1. COUNT60M

    0下载:
  2. 六十进制计数器,带进位输出,很简单,基本实现啦所要求的功能-6 decimal counter, into the digital output, is very simple, basic functionality required to achieve啦
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:38620
    • 提供者:夏强
  1. cal

    0下载:
  2. 设计一个十进制计数器,由0到9进行循环计数,同时将计数结果通过数码管显示出来-Design of a decimal counter, from 0 to 9 for cycle counting, while counting resulted in the adoption of digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:606
    • 提供者:杨毅刚
  1. AD

    0下载:
  2. 从ADC0809的通道IN3输入0-5V之间的模拟量,通过ADC0809转换成数字量在数码管上以十进制形成显示出来。ADC0809的VREF接+5V电压-This design introduces a controlling circuit which is realized by scp AT89C51 in details. ADC0809 converted into digital by the digital pipe to form a decimal display
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:22434
    • 提供者:南然
  1. frequencyZDC

    0下载:
  2. 有效位为四位十进制数的数字频率计,实验板上有一个标准时钟发生电路,为计数闸门控制电路提供一个标准8Hz信号,计数闸门控制电路控制4位十进制计数器从第三秒开始计数一秒钟,计数的个数就是待测输入信号的频率。第四秒停止计数,其中前7/8秒保持计数值,后1/8秒计数器复位。然后再计数一秒,保持计数值一秒,如此循环。-Digital frequency meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1165
    • 提供者:南瓜
  1. 123

    1下载:
  2. 各种代码运算:多字节BCD码减法、多字节BCD码取补、多字节BCD码左移十进制一位(乘十)、多字节数乘10子程序 多字节无符号数出除法子程序、双字节二进制无符号数乘法-Operation of various code
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:14276
    • 提供者:叶明
  1. VHDLscounter

    0下载:
  2. 通过VHDL自行设计的一个秒表共有4个输出显示,分别为、十分之一秒、秒、十秒、分,所以共有4个计数器与之相对应(3个十进制计数器,一个6进制计数器用来对十秒进行计数),整个秒表还需有一个复位信号和一个精确的10HZ时钟信号。-Of a self-designed by VHDL stopwatch showed a total of four outputs, namely, one-tenth of seconds, seconds, ten seconds, minutes, so a to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:330605
    • 提供者:zhangmin
  1. ln

    0下载:
  2. 该程序主要完成用汇编代码实现十六进制和十进制的转换。-The procedure to achieve the completion of assembly code with the hexadecimal and decimal conversions.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:31122
    • 提供者:hanhui
  1. chashengboceju

    2下载:
  2. AT89S52单片机控制的超声波测距,主程序首先对系统环境初始化,设置定时器T0工作模式为16位的定时计数器模式,置位总中断允许位EA并给显示端口P0和P2清0。然后调用超声波发生子程序送出一个超声波脉冲,为避免超声波从发射器直接传送到接收器引起的直接波触发,需延迟0.1ms(这也就是测距器会有一个最小可测距离的原因)后,才打开外中断0接收返回的超声波信号。由于采用12MHz的晶振,机器周期为1us,当主程序检测到接收成功的标志位后,将计数器T0中的数(即超声波来回所用的时间)按下式计算即可测得
  3. 所属分类:SCM

    • 发布日期:2015-01-27
    • 文件大小:173647
    • 提供者:刘翔
  1. Frequencycounterprogramdesignandsimulation

    0下载:
  2. 频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的-Frequency counter. With 4-bit display that can automatically count the results of seven decimal automatically select a valid data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:99935
    • 提供者:zhangyu
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 25 »
搜珍网 www.dssz.com