CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 十进制

搜索资源列表

  1. atoasci

    0下载:
  2. 用ASM语言编写的二十进制转换代码.有价值-ASM language with the two Decimal code. Value
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1441
    • 提供者:温水
  1. 十进制转BCD

    0下载:
  2. 十进制转为BCD码的三种算法 详细请看程序,谢谢。-metric BCD to the three algorithms See detailed procedures, thank you.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3328
    • 提供者:ye
  1. 二十进制转换

    0下载:
  2. C8051F020单片机二十进制转换-C8051F020 two metric conversion
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:29512
    • 提供者:刘题名
  1. Decimal--format-32-bit-IEE754 十进制转化32位IEE754浮点格式函数

    1下载:
  2. 十进制转化32位IEE754浮点格式函数,32位IEE754浮点格式函数转化十进制-Decimal floating point format conversion function 32-bit IEE754, 32 IEE754 decimal floating point format conversion function
  3. 所属分类:DSP编程

    • 发布日期:2013-10-29
    • 文件大小:11144
    • 提供者:zhangdonghui
  1. count

    0下载:
  2. 用VHDL实现一个四位十进制计数器来进行计数,并且仿真通过-To use VHDL to achieve a 4 decimal counter to count, and the simulation through the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:571
    • 提供者:李啸宇
  1. 2_10encode

    0下载:
  2. VHDL语言描述的二进制十进制译码电路,已经编译完成-Binary decimal decoder circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:3582
    • 提供者:lidewei
  1. t1

    0下载:
  2. 带清零和重置功能的十进制计数器,可以用LED灯显示结果-Cleared and reset with the decimal counter, can use LED lights display the results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1011431
    • 提供者:孟明川
  1. 2zhuan10

    0下载:
  2. PIC-二进制转十进制,用数码管显示出来-PIC-binary to decimal, using digital tube display
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2307
    • 提供者:ferby1
  1. cymomete

    0下载:
  2. 采用测频法设计一个8位十进制数字显示的数字频率计。测量范围1-499999hz。-Frequency measurement method used to design an 8-bit decimal figures show that the digital frequency meter. Measuring range 1-499999hz.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1085
    • 提供者:dong
  1. bcd

    0下载:
  2. EDA 十进制计数器、BCD VHDL源代码-EDA decimal counter VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1219
    • 提供者:啊毛
  1. 0_F

    0下载:
  2. 译码(把二进制转化成十进制,七段码)vhdl语言,适用于初学者-yima
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:95951
    • 提供者:zhangfengjie
  1. counter6_t10jia

    0下载:
  2. 这是一个用VHDL编写的十进制计数器程序通过编译-This is a work written in decimal counter VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:136323
    • 提供者:huguangzhou
  1. cymometer

    0下载:
  2. 8位十进制的频率计 有相关的波形仿真,对相应计数器的修改,可以实现任何进制(如8、16、32)的修改-8-bit decimal frequency include the relevant waveform simulation, the corresponding changes to the counter, any band can be achieved (eg, 8,16,32) changes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:122398
    • 提供者:李建兵
  1. jishuqi

    0下载:
  2. 计数器,十进制计数器,完成计数的功能,满10进1-Counter, the decimal counter, the completion of counting functions, into a full 10
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:527
    • 提供者:逸远
  1. AdditionCounter

    0下载:
  2. 一个带有异步复位和同步时钟使能的十进制加法计数器-Asynchronous reset and synchronization with a clock enable decimal addition counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:588
    • 提供者:John
  1. 2-Decimal-BCD-Decoder

    0下载:
  2. 二-十进制BCD译码器,就是用VDHL编写的将二进制转化为十进制的BCD译码器-2- Decimal BCD Decoder, is to use VDHL written into the binary decimal BCD decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:540
    • 提供者:易云箫
  1. adc804

    0下载:
  2. AD转换+LCD12864显示程序 1 AD转换,二进制转换成十进制 2 显示英文,数字,符号,图片。-AD Converter+ LCD12864 display program 1 AD conversion, the binary converted to decimal 2 shows in English, numbers, symbols, and pictures.
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:60039
    • 提供者:董兵兵
  1. dextxt2bin

    0下载:
  2. 处理将十进制txt(空格隔开)转换成16进制bin文件 如:27 27 27->1b 1b 1b(Processing decimal TXT (space separated) converted into 16 hexadecimal bin file Such as: 2727 27->1b 1b 1B)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-03
    • 文件大小:89088
    • 提供者:liry
  1. counter10

    0下载:
  2. vhdl编写的十进制计数器,名字叫count10,已配好引脚(VHDL's decimal counter, named count10, has been matched with a pin)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:1422336
    • 提供者:li 234
  1. 计算器课设

    0下载:
  2. 基于51单片机多位十进制计算器设计 带文档说明 程序源码 proteus仿真图 (1)系统通过4×4的矩阵键盘输入数字及运算符。 (2)可以进行多位十进制数以内的运算(包括加,减,乘,除,幂次方,对数,三角函数等功能),如果计算结果超过显示范围,则屏幕显示E。 (3)可显示输入时的数字及结果 (4)设计控制电路总体方案; (5)电路的设计、仿真与调试;(Design of multi bit decimal number calculator based on MCU)
  3. 所属分类:单片机开发

    • 发布日期:2020-07-13
    • 文件大小:609280
    • 提供者:心素如简
« 12 3 4 5 6 7 8 9 10 ... 25 »
搜珍网 www.dssz.com