CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 可以生成波形

搜索资源列表

  1. 32kPWM

    0下载:
  2. 用于凌阳单片机SPCE061A上的波形产生算法,生成32KPWM波,可以用于载波输出。 用于凌阳单片机SPCE061A上的波形产生算法,生成32KPWM波,可以用于载波输出。-SCM SPCE061A Sunplus for the waveform generation algorithms, generating 32KPWM wave, the output can be used for carrier. For Sunplus SCM SPCE061A the waveform g
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:829
    • 提供者:刘仪
  1. wavefetch

    0下载:
  2. ModelSim的波形比较的功能可以将当前仿真与一个参考数据(WLF文件)进行比较,比较的结果可以在波形窗口或者列表窗口中查看,也可以将比较的结果生成一个文本文件-ModelSim waveform can be compared to the current functional simulation with a reference (WLF paper ), the results can be compared in the waveform window or window List
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3798
    • 提供者:cyberworm
  1. FPGA

    1下载:
  2. 基于FPGA设计的多功能信号发生器,可以生成各种常用的波形-FPGA-based design of multi-function signal generator, can generate waveforms of various commonly used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:26762
    • 提供者:天使
  1. fpga_sec

    0下载:
  2. 学习使用波形比较功能的基本方法,ModelSim的波形比较的功能可以将当前仿真与一个参考数据(WLF文件)进行比较,比较的结果可以在波形窗口或者列表窗口中查看,也可以将比较的结果生成一个文本文件-Learning to use the wave function of the basic method of comparison, ModelSim wave function can be compared with a reference current simulation (WLF fil
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:7559
    • 提供者:崔慧娟
  1. PWMspeed-control

    0下载:
  2. 可以控制直流电机的波形生成的代码,用的是汇编语言-DC motor can be controlled to generate the waveform of the code, using assembly language
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:737
    • 提供者:xiaofeng
  1. sin_wave

    0下载:
  2. 嵌入式编程时,需要一些数据变来得到需要的波形。这个软件可以生成单片机形式的数据。-this software can generate sin wave
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:38408
    • 提供者:chenlei
  1. cpu

    0下载:
  2. 16位的CPU的VHDL程序~~还有附加的生成波形,可以应用于课程设计中-16-bit CPU, VHDL ~ ~ There are additional procedures for generating waveforms, can be applied to curriculum design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1053544
    • 提供者:liuying
  1. LED_PWM_COL

    0下载:
  2. MSP430驱动步进电机生成PWM一个很全的程序,可以调节PWM频率,占空比、按照一定步进调节频率、产生脉冲波形等.写步进电机和直流电机的驱动可以借鉴一下-MSP430 PWM drive stepper motor generates a very full program, can adjust the PWM frequency, duty cycle, adjusting the frequency according to a certain step, resulting in pu
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:44972
    • 提供者:we
  1. AD

    0下载:
  2. 这是我们学校的DSP实验箱5502的AD采样程序,保证可以用,功能很全,本实验通TLV320AIC23B进行AD采样,能完成模拟信号的采集,并用DAC7724 DAC完成模拟信号的生成,可以产生不同幅度与频率的波形.-This is our school s DSP kit 5502 s AD sampling procedures to ensure that you can use, features a very full, the experiment through TLV320AIC
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:102171
    • 提供者:Mr CAI
  1. 51PWM

    0下载:
  2. 51单片机仿真pwm波形,可以生成单片机不具备的pwm功能。-51 single-chip simulation pwm waveform, you can generate the microcontroller does not have the pwm function
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:9145
    • 提供者:天天
  1. DDC_VHDL

    0下载:
  2. DDS信号发生器,可以生成方波,三角波以及正正弦波等,只要稍微修改下输入数据即可生成任意的波形。-DDS signal generator can generate a square wave, and some small modifications to the next input data to generate arbitrary waveforms.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:440308
    • 提供者:逸风
  1. spwm

    0下载:
  2. 在f28335的pwm0与pwm1两口生成spwm波形,测试有效,很方便,里面只有C文件,可以在ccs3.3下建立工程后再用-Generated the f28335 pwm0 with pwm1 two the spwm waveform, the test is valid, it is convenient, and then only the C files in the establishment of the project under the ccs3.3
  3. 所属分类:DSP program

    • 发布日期:2017-11-10
    • 文件大小:1028
    • 提供者:蒋志范
  1. sang

    0下载:
  2. 器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统可以产生最高频率798.6HZ的波形。该信号发生器具有体积小、价格低、性能稳定、功能齐全的优点-Uses digital waveform synthesis technology, hardware and softwa
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:70952
    • 提供者:黄州
  1. IPRAM

    0下载:
  2. FPGA内置RAM,调用tools里面的IP核,生成一个双口的RAM,用来存储数据。然后可以用SignalTAP II查看波形或者数据。-FPGA built-in RAM, which is called IP core tools to generate a dual port RAM, used to store data. You can then view the waveform or use SignalTAP II data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1982464
    • 提供者:xiexin
  1. freq_k

    0下载:
  2. 基于basys2的频率计,可以生成三角波等波形,需要外接电路-Based basys2 frequency counter can generate triangular wave waveform, the need for external circuitry
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1993698
    • 提供者:张文
  1. dds-veilog

    0下载:
  2. 既可以通过计算机发送数据产生各种波形,又可以手动设置生成-Both can generate various waveforms to send data through the computer, and can be set manually generate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1286089
    • 提供者:张鑫鑫
  1. pwmSTM32F407

    0下载:
  2. 可以在STM32F407生成理想pwm波形,而且不用配置硬件环境,可以直接用,不用更改STM32板级设置,效果很好-PWM waveform can be generated in STM32F407 ideal, and need not to configure hardware environment, can use directly, need not modify the Settings of the STM32 board level, the effect is very go
  3. 所属分类:Embeded Linux

    • 发布日期:2017-05-25
    • 文件大小:8572759
    • 提供者:jack
  1. boxingxinhaofashengqi

    0下载:
  2. 通过C语言编写来产生锯齿波形 可以根据需要更改来生成正玄波或方波等-Write to generate a sawtooth waveform C language can be generated by sine wave or square wave needs to be changed according to the like
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:46815
    • 提供者:刘世林
  1. project_wave

    0下载:
  2. 波形发生器,生成三角波和正弦波,功能较为简单,可以通过改变频率控制字来改变输出波形状态-Waveform generator to generate triangular wave and sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9876045
    • 提供者:吴明华
  1. dds_generater

    0下载:
  2. 波形发生器,可以生成正弦波、三角波、方波、锯齿波;可以选择输出频率和幅度,基于DDS设计,verilog和QuartusII开发-Waveform generator can generate sine, triangle, square wave, sawtooth wave you can the output frequency and amplitude, DDS-based design, verilog and development QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5154290
    • 提供者:zhang
« 12 »
搜珍网 www.dssz.com