CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 按键消抖

搜索资源列表

  1. debounce_2_Verilog

    0下载:
  2. 用VerilogHDL编写的按键消抖程序 分频产生100Hz的按键采样时钟,采样时钟周期为10ms, 按键按下后,产生时间为10ms的低电平信号,即LED亮10m-*Project Name :debounce *Module Name :debounce *Target Device :Any Altera FPGA/CPLD Device *Clkin : 50MHz *Desisgner : zhaibin *Date : 2011-11-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:430472
    • 提供者:ZB
  1. watch

    0下载:
  2. 用VHDL设计实现秒表功能:秒表功能包括开始/暂停键和清零键,精度要达到0.01秒,所以计数显示共有八个数码管,而每个数码管又有八个管脚,因此采用扫描显示的方法,减少管脚数量。时钟脉冲由最低位给入,采用异步方式驱动更高位的计数,时钟频率应该为100Hz,通过数码管显示,共有八个数码管,所以扫描频率应在100Hz的8倍以上。(付按键消抖代码)-VHDL design with a stopwatch functions: stopwatch features include Start/PAUSE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:27292
    • 提供者:李月
  1. Key

    0下载:
  2. 按键驱动程序。主要功能:如果按下查询键值,消抖,发送标志位置位 如果同一个键一直处于按下状态,只发送一次;如果是两个特殊键,则一直发送。-Key drivers. Main functions: if the key press inquiries, elimination Buffeting, send mark the location of places, if the same key has been pressed, and sent only once if there are
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:3195
    • 提供者:kangde1619
  1. keydebounce

    0下载:
  2. 按键消抖,基于BLOCK输入,可以达到很好的消除抖动的效果-Key consumer shake, based on BLOCK input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:187493
    • 提供者:lixianhui
  1. VHDLxiaodou

    0下载:
  2. 键盘消抖电路的程序,可以实现按键消抖功能-xiaodou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3405
    • 提供者:东东
  1. 111

    0下载:
  2. 用vhdl实现按键消抖 和 用vhdl写pwm-Vhdl implementation with shaking and the use of key consumer written pwm vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:575
    • 提供者:王正
  1. xiaodou2

    0下载:
  2. 基于脉冲边缘检测的按键消抖模块verilog-Key consumer shake module verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2265429
    • 提供者:郭超
  1. StopWatch

    0下载:
  2. 电子秒表 巧妙实现按键消抖、按键组合、一键多用等功能-Stopwatch button debounce cleverly achieved, key combination, a key multi-functions
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:29238
    • 提供者:牵狼擎苍
  1. stable_key

    0下载:
  2. 按键消抖电路,包含VHDL编写的程序,以及VerilogHDL编写的程序-Key debounce circuit, including a program written in VHDL, as well as programs written VerilogHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:627455
    • 提供者:路政西
  1. jifenqi

    0下载:
  2. 单片机计分器汇编程序,按键消抖,数码显示-SCM scoring device assembler, key debounce, digital display
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:645
    • 提供者:小宇
  1. pingpang

    0下载:
  2. 模拟乒乓球游戏机,输入有按键消抖模块,利用两个七段数码管的其中9段来模拟乒乓球的移动路线,中间的数码管兼做球网。-Table tennis simulation game, enter a key debounce module, using two seven-segment digital tube to simulate the Table Tennis section 9 of the mobile line, cater to the middle of the digital net
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:299859
    • 提供者:李凡
  1. water-lamp-xd

    0下载:
  2. 流水灯程序、按键消抖程序和计算按键次数程序-Light water programs, procedures and calculation of key debounce process button clicks
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:944
    • 提供者:lj
  1. keyboard

    0下载:
  2. 使用FPGA verilog语言编写的键盘按键消抖程序,三个按键,控制LED亮灭-Written using the FPGA verilog keyboard debounce procedure, three buttons, the control LED light off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:23667
    • 提供者:徐俊
  1. Keys-away-shaking

    0下载:
  2. 采用状态机的理念进行的按键消抖程序,可以进行很好移植.-The state machine button can shake procedures, extinction is very good transplantation
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2449
    • 提供者:jingjing
  1. ring

    0下载:
  2. 单片机打铃程序,大学时的课程设计,用汇编语言写的,对初学汇编语言的人很有帮助,里面有按键消抖、打铃设置等很多实用的子程序-SCM ringing program, college, curriculum design, written in assembly language, assembly language for beginners who helps, there are key debounce, rang the bell set routines, and many other
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:4774
    • 提供者:wangpoba
  1. vhdl_key_with_debounce

    0下载:
  2. vhdl语言编写的消抖电路,用于按键消抖。-vhdl languages ​ ​ debounce circuit for key debounce.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1116
    • 提供者:
  1. qiangdaqi

    0下载:
  2. 基于VHDL与FPGA的四路抢答器的设计与仿真。主要模块:抢答、竞争冒险、抢答倒计时、加分减分、超时蜂鸣、按键消抖、答题记时等模块-VHDL and FPGA-based four-way Responder Design and Simulation. Main modules: Responder, competition and adventure, answer in the countdown, plus minus points, overtime buzzer, key debou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1539960
    • 提供者:丫头
  1. KeyeLiminateDithering

    0下载:
  2. 按键消抖Verilog程序,希望对大家有帮助!-Key debounce Verilog program, we want to help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:422425
    • 提供者:sun pei
  1. keyscanverilog

    0下载:
  2. 按键消抖实验,体验用FPGA实现 和用单片机实现的不同-Key debounce experiment, experience, and the use of single-chip FPGA implementation to achieve different
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:195430
    • 提供者:樊依林
  1. sw_led

    0下载:
  2. 利用verilog编写的一个按键控制LED灯的代码,包括按键消抖-Using verilog write a code key control LED lights, including key debounce
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:365564
    • 提供者:李才
« 1 23 4 5 6 7 8 »
搜珍网 www.dssz.com