CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 按键消抖

搜索资源列表

  1. anjian

    0下载:
  2. 按键输入模块(key): --可编程延时发生器(数字同步机)的前端输入模块:0-9十个数字键按键输入模块原型 --前端模块:消抖 --对i0-i9十个输入端的两点要求: --(1)输入端要保证一段时间的稳定高电平 --(2)不能同时按下两个或多于两个的键 --后级模块:1、编码;2、可变模计数器 --编码模块:8线-4线(0-8 BCD码) --可变模计数器模块:以编码模块输出的32位BCD码为模值-button input module (key) : -- p
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2816
    • 提供者:汪汪
  1. KEYBOARD

    0下载:
  2. 51的4*4键盘驱动当按键按下时返回一个值,且只在按下键时返回一次该值,之后返回0;无按键时返回0;包含消抖功能,在键盘的下降沿触发。 基于keil平台
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:916
    • 提供者:邵威
  1. AVR_key

    0下载:
  2. 基于MEGA16的按键识别程序,消抖等功能
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:45706
    • 提供者:chenzhe
  1. irint2

    0下载:
  2. 基于s3c2440和linux下的按键中断代码,含消抖代码、异步触发、读写阻塞等机制,是极好的中断驱动程序的范例。使用EINT2(GPF2)
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:3008
    • 提供者:xiyong
  1. MCU_KEYBOARD_JIDA

    0下载:
  2. 51单片机片键盘驱动程序(带键盘击打实现) 我们在编写单片机键盘程序时,一般都是利用延时实现键消抖,但是很难实现键盘按下后的键盘连续击打,当然可以通过较复杂的变量转换实现,平凡老师写过一篇文章叫做《一种常用键盘程序的设计》,平凡老师是在主循环中进行的按键连续击打实现,我阅读后感觉不错,但是模块化不够理想,最后,平凡老师也承认,这样在主循环中实现这样的键盘击打,只能用在主循环不是很忙的时候,如果程序本身的主循环时间比较长,那就比较麻烦了,所以最后,平凡老师还是建议大家用定时器做,后来我感觉用平
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:81841
    • 提供者:牛余朋
  1. pwm_key

    0下载:
  2. 用fpga ep2c8Q208实现的按键可控PWM信号发生器 (按键加了消抖模块,PWM寄存器位宽为32位)-Achieved with the fpga ep2c8Q208 PWM control signal generator key (key plus the debounce module, PWM register bit width is 32 bits)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:729898
    • 提供者:Johnny chen
  1. aianxiaodou

    0下载:
  2. 用vhdl语言实现对按键的消抖,消除按键的抖动对系统造成的误判-Vhdl language used to achieve the elimination of key Buffeting to eliminate jitter button on the system caused by misjudgment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:73912
    • 提供者:jayi
  1. KEYPAD

    0下载:
  2. 按键的扫描程序(C),矩阵扫描,软件消抖,按键复用都有。-Key scanning program (C), matrix scan, consumer shaking, key re-use.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1887
    • 提供者:张三
  1. key_xiaodou

    0下载:
  2. 本例中用状态机实现了消抖电路: 端口描述:clk 输入检测时钟;reset 复位信号;din 原始按键信号输入; dout 去抖动输出信号。-In this case the state machine used to achieve the elimination shake circuit: Ports Descr iption: clk input test clock reset reset signal din original key signal input dout t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:834
    • 提供者:hughxue
  1. xiaodou

    0下载:
  2. 本例实现的功能是,实现对开发板按键的消抖-Achieved in this case the function is the key to realize the news shaking development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:163702
    • 提供者:孙文
  1. example6

    0下载:
  2. 按键控制加减及消抖:使用KEY1和KEY2控制数据的加减。-Key control and eliminate buffeting Modified: KEY1 and KEY2 control data using addition and subtraction.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:27281
    • 提供者:YJ
  1. key

    0下载:
  2. 按键消抖的源码 基于arm的stm32的M4系列测试 移植的话都可以-The source code for the shudder source is based on arm s stm32 series M4 serial test migration
  3. 所属分类:SCM

    • 发布日期:2017-12-16
    • 文件大小:1024
    • 提供者:
  1. key_debounce

    0下载:
  2. 按键消抖操作,采用计数延时20ms的方式实现按键消抖,防止出现误按,VHDL和verilog-Button shaking operation, the use of counting delay 20ms way to achieve the key to shake, to prevent the error, VHDL and verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:2209
    • 提供者:d232
  1. key

    1下载:
  2. 51单片机新型按键扫描,不用10ms延时消抖,实时性大大提高。每个按键有长按短按功能,采用结构体对象化编程,增加按键极度方便。(The new key scan does not use 10ms delay to eliminate jitter, and the real-time performance is greatly improved. Each button has a long press, a short press function, the use of structur
  3. 所属分类:单片机开发

    • 发布日期:2017-12-23
    • 文件大小:3072
    • 提供者:沧海一瞬
  1. 按键按下数码管次数加一

    0下载:
  2. 按键按下,数码管加一。数码管为两位,按键有延时消抖。(When press down the button,the number displayed on nixie tube add one.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-04
    • 文件大小:1153024
    • 提供者:馨儿
  1. 消抖模块源代码

    0下载:
  2. 对fpga中的按键,防摔等部分进行消除抖动(To eliminate the jitter of the key in the FPGA, the fall prevention and other parts)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:1024
    • 提供者:tttii
  1. clock

    0下载:
  2. 用VHDL完成的数字钟设计。可选24h与12h两种时制,运用到按键消抖。(The digital clock is designed with VHDL. Optional 24h and 12h two kinds of time system, apply to the button to shake.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:20480
    • 提供者:redsoul丶
  1. 2.key_flash

    0下载:
  2. 实现FPGA开发板最基础的按键消抖功能,可以移植。(To achieve the most basic key function of FPGA development board, we can transplant it.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:6176768
    • 提供者:天天爱上学
  1. key_jitter_decade_counter_seg

    0下载:
  2. 采用对抖动时间的记录,实现按键消抖,并记录按键个数(We use the recording of the jitter time to achieve the key dithering and record the number of keys.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:64512
    • 提供者:小明与小明
  1. MultiKeyScan

    1下载:
  2. 多个按键独立检测 单击 双击 长按等操作 具有消抖功能(Multiple keys are independently detected, click double click, long click, and so on.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-10-12
    • 文件大小:1024
    • 提供者:Alan.Zhao
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com