CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 按键消抖

搜索资源列表

  1. lesson8_t4

    0下载:
  2. 按键驱动。按键的作用控制T0中断服务函数,用于按键状态的扫描并消抖-英语中文德语检测语言 中文(简体)英语日语 翻译文字或网页 按键驱动。按键的作用控制T0中断服务函数,用于按键状态的扫描并消抖 请键入文字或网站地址,或者上传文档。 取消 À njiàn qūdòng. À njiàn de zuòyòng kòngzhì T0 zhōngduàn fúwù hánshù, yòng yú ànjiàn zhuàngtài de sǎomiá
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:13494
    • 提供者:乐乐
  1. Buttons

    0下载:
  2. 按键按下、弹起、长按、组合算法,中断延时消抖.-Button is pressed, bounce, long press, the combination of algorithms, interrupt latency jitter elimination.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-15
    • 文件大小:4658
    • 提供者:刘子建
  1. delay(systick)

    0下载:
  2. stm 32 滴答定时器消抖 按键 C文件-stm 32 Debouncing timer tick button C file
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2439
    • 提供者:Sam
  1. KEY

    0下载:
  2. 简单易懂,不占用任务定时器和延时的按键识别程序,无需去延时消抖,即可识别长按、短按、连续短按。-Simple and easy to understand, do not take the task timer and delay the key recognition program, without the need to delay the shake, you can identify the long press, short press, continuous short press
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-15
    • 文件大小:6034
    • 提供者:孟凡进
  1. key_scan

    0下载:
  2. 这是一个FPGA的按键扫描程序,无需延时就可以实现软件消抖,是一种创新的消抖新方法!-This is a FPGA key scan program, without delay can achieve software to shake, is a new method for the elimination of innovation!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:10433
    • 提供者:xuin
  1. FangDou_reg

    0下载:
  2. 使用Verilog语言编写的机械按键防抖程序,采用移位寄存器的方法进行消抖。-Verilog language using mechanical keys stabilization program, the method of using a shift register eliminate shaking.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:259724
    • 提供者:zhangbiao
  1. F330_Key

    0下载:
  2. C8051F330实现矩阵键盘的扫描和按键的消抖处理,将扫描的键盘数据以600bps的UART速度发送给其他设备-C8051F330 realize debounced processing matrix scanning and keyboard keys, keyboard data will be sent to UART scan speed 600bps to other devices
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:37923
    • 提供者:zhlifeng0316
  1. xuanpin

    0下载:
  2. 用两个按键分别控制占空比的频率和占空比 8中频率 和四种占空比可调 可自己叫消抖,上机可用-Two buttons control the duty cycle frequency and duty cycle 8 adjustable frequency and duty cycle of four kinds can call themselves debounced on board available
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1119
    • 提供者:辛书伟
  1. key

    0下载:
  2. 基于定时器消抖的独立按键程序 并且可以实现按下时进行计数, 此程序为原型状态机程序,可在此基础上做顶层的按键程序-Based on a timer shake independent key program
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:983
    • 提供者:林小明
  1. 1

    0下载:
  2. 将单片机的系统时钟设置成56M,用TIM2或TIM3实现时钟功能,并可用按键调整时钟,实现按键的消抖功能。-The microcontroller system clock is set to 56M, with TIM2 or TIM3 clock function, and can be used to adjust the clock key to achieve the key to eliminate shaking function.
  3. 所属分类:SCM

    • 发布日期:2017-05-26
    • 文件大小:8390453
    • 提供者:赵先生
« 1 2 3 4 5 6 7 8»
搜珍网 www.dssz.com