CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 显示时间

搜索资源列表

  1. danpianjidianzishizhongchengxu

    0下载:
  2. 单片机电子时钟程序 它能显示日期 星期几 时间
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1150
    • 提供者:宋颖
  1. wangluoyuanchengkoangzhixitong

    0下载:
  2. Target:89S51 程序描述:网络远端温度感测器,这个范例中使用到  (1)8051 的Port 1连接到LCD显示器,PORT 3的P3.3、P3.4和P3.5分别连接到LCD显示器的控制线,程序执行时可以让LCD显示器显示出时间和日期。 (2)温度感测组件AD590经由ADC0804将感测到的温度,转换成数位数据,然后经由PORT 0输入8051。 (3)igangcai 8051的第10和第11只脚RXD和TXD分别连线到MAX232,然后连接到PC的COM埠。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:44003
    • 提供者:西_土_瓦
  1. zinengwenduji

    0下载:
  2. 程序描述:智能温度感测器,这个范例中使用到 (1)8051 的Port 1连接到LCD显示器,PORT 3的P3.3,P3.4和P3.5分别连接到LCD显示器的控制线,程序执行时可以让LCD显示器显示出时间和日期。 (2)温度感测组件AD590经由ADC0804将感测到的温度,转换成数字数据,然后经由PORT 0输入8051。 (3)8051的第10和第11只脚RXD和TXD分别连线到MAX232,然后连接到PC的COM埠。 (4)8051实验板每 小时就读入ADC0804转换的
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:51473
    • 提供者:西_土_瓦
  1. 基于VHDL的电子钟

    0下载:
  2. 基于VHDL的电子钟 实现一个简单的电子钟,可显示其时间时分秒和年月日,通过按键交替显示
  3. 所属分类:VHDL编程

    • 发布日期:2013-01-25
    • 文件大小:346534
    • 提供者:连连
  1. clock

    0下载:
  2. 开机时,显示12:00:00的时间开始计时;按按钮控制时分秒的加
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:954
    • 提供者:marine
  1. dzrl

    0下载:
  2. 该电子日历可显示年、月、日、星期、时、分的时间信息。 整个系统采用AT89C52作为中央处理芯片,用于数据处理,初值设定,显示控制等。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:93843
    • 提供者:Ayaena
  1. disptimer

    0下载:
  2. 时间显示例子 1--Example 1 of time display.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:69838
    • 提供者:粤菜
  1. dianreguowendukongzhi

    0下载:
  2. 摘要】 本文介绍了以AT89S51单片机为核心的温度控制器的设计,在该设计中采用高精度的温度传感器AD590对电热锅炉的温度进行实时精确测量,用超低温漂移高精度运算放大器OP07将温度-电压信号进行放大,再送入12位的AD574A进行A\\D转换,从而实现自动检测,实时显示及越限报警。控制部分采用PID算法,实时更新PWM控制输出参数,控制可控硅的通断时间,最终实现对炉温的高精度控制。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:349512
    • 提供者:hyc
  1. ti

    0下载:
  2. 显示系统日期时间。参数 d 表示显示日期,参数 1 表示采用数码管字符,参数 0 使用显示卡默认字符。例:c:\\>ti d 1
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3215
    • 提供者:刘晶亮
  1. daojishi

    0下载:
  2. 利用单片机的定时器/计数器的定时和计数,用 LED数码显示计时的时间,某键按下去时 再按一下停止计时 另一键按下去时间清零。源程序+电路图。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:22721
    • 提供者:杨雨
  1. RTC

    0下载:
  2. s3c44b0x的实时时钟模块的程序设计,修改时钟日期及时间的设置,并利用系统的串行口,在超级终端上显示
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:150045
    • 提供者:施文
  1. clock6.5w

    0下载:
  2. 基于HC908GP32的时钟程序,基本功能是时钟和日期显示,附加闹钟功能,可以自由设置时间和日期,以及闹钟。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:81782
    • 提供者:liu
  1. UP3_RTC_CLOCK

    1下载:
  2. 在UP3开发板上已经验证过的VHDL代码。精确到十分之一秒,具有闹钟,整点报时,时间可重新设置等功能,在LCD1602上显示。绝对推荐,比网上其他类似代码功能要全而且经过验证。最关键的是该代码是直接通过I2C总线来获取UP3开发板上的实时时钟芯片的时间的,当然也可以通过I2C对时钟芯片进行设置.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1367434
    • 提供者:kehan
  1. miaobiaochengxu

    0下载:
  2. 利用NIOS和QUARTUS系统完成一个秒表的功能,可以实现正序和倒序显示记录的时间。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1837
    • 提供者:幻婳
  1. Time

    0下载:
  2. 时序控制器20080713,适用于时间工作,如模具各阀浇口不同时间的控制,如二 个阀浇口,就需要两只时序控制器(表)。 解敏超 88.8 88.8 1。六位数码管双行显示,四键控制,分别是“触发”,“修改/确定”,“移位”,“设置”。 2。上行是延时时间 3。下行是工作时间 4。延时,工作时间多可以修改保存 5。工作时为继电器输出,并有流水灯指示
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4185
    • 提供者:解敏超
  1. msp430f2274

    0下载:
  2. 在MSP430F2274单片机 编写的数码时钟程序,在数码管上显示,可以调时间,年月,该程序可以供初学430的作一点参考。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2007
    • 提供者:李世民
  1. c8051f350-ad

    4下载:
  2. C8051F350单片机的24位AD程序,通过示波器显示字符,使用sin3c滤波器输出,看门狗时间改到相应最短,采样频率下位24hz上位8hz。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:139520
    • 提供者:zxx
  1. 8051TIME

    0下载:
  2. 基于单片机89C51的程序温控系统,同时可以显示当前时间和设定时间与当前温度和设定温度
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:40242
    • 提供者:sky
  1. DS1302timesettime

    0下载:
  2. DS1302 ds18b20时间温度led显示系统源代码,全部都是自己写的,已经通过调试,下载到板子上绝对可用
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:34966
    • 提供者:zwl
  1. watch

    0下载:
  2. 一个用VHDL编程基于CPLD的EDA实验板开发可以实现顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通过小键盘可以实现设定计时时间(以秒为单位,最大计时时间为99.9秒)。通过键盘实现计时开始、计时结束。当所设定的倒计时间到达00.0S后,自动停止倒计时,同时响铃。 (2) 顺计时:初始值为00.0S,通过键盘实现开始计时和结束计时功能。计时结束后,显示记录的时间。 (3) 用三个发光二极管正确显示以下状态:倒计时状态、顺计时状态
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:412886
    • 提供者:YUJIAN.XU
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com