CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 波形 wave

搜索资源列表

  1. AD9834

    1下载:
  2. 基于51的AD9834波形发生器源码。可以产生三角波,正弦波和方波。频率和相位可以设定。-Based on AD9834 waveform generator source 51. It can generate a triangular wave, sine and square waves. Frequency and phase can be set.
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:1002
    • 提供者:涂华辉
  1. 1

    0下载:
  2. 使用89C51单片机制作简易信号发生器,可以实现4种波形。正弦波,锯齿波,方波,三角波。信号频率1HZ—10KHZ-Use 89C51 microcontroller production of simple signal generator, can achieve four waveforms. Sine, sawtooth, square, triangle wave. Signal frequency 1HZ-10KHZ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4079
    • 提供者:吴晓宸
  1. boxingfashengqi

    0下载:
  2. 波形发生器的源代码,有正弦波,三角波,锯齿波,方波。modelsim仿真,包含testbench仿真代码,testbench用的verilog编写,波形发生器源代码用的VHDL编写。-Waveform generator source code, sine, triangle, sawtooth, square wave. modelsim simulation, testbench simulation code contains, verilog write testbench use, w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4753911
    • 提供者:hbxgwjl
  1. project_wave

    0下载:
  2. 波形发生器,生成三角波和正弦波,功能较为简单,可以通过改变频率控制字来改变输出波形状态-Waveform generator to generate triangular wave and sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9876045
    • 提供者:吴明华
  1. AD9850

    0下载:
  2. AD9850,波形发生器,可以产生正弦波,方波,幅值5V,大小都在程序里调整,1602显示-AD9850, waveform generator can produce sine, square wave, amplitude 5V, we have to adjust the size of the program, the display 1602
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:83725
    • 提供者:
  1. combinationwave

    0下载:
  2. 将50hz、100hz、600hz、2500hz、4500hz正弦波混合,利用matlab设计数字带通滤波器,选择200hz至3000hz范围内波形,并查看频谱。-Will be 50hz, 100hz, 600hz, 2500hz, 4500hz sine wave mixing using matlab design digital band-pass filter, 200hz to 3000hz within the scope of the waveform and spectral
  3. 所属分类:DSP program

    • 发布日期:2017-05-01
    • 文件大小:11029
    • 提供者:段志杰
  1. Signal-Generator-VHDL-design

    0下载:
  2. 信号发生器VHDL设计 波形可选:正弦(sine),方波(sqr),锯齿波(jc_de和jc_in两种),三角波(sanj)和阶梯波(stair)信号模块-Optional waveform signal generator VHDL design: sinusoidal (sine), square wave (sqr), sawtooth (jc_de and jc_in two kinds), triangle wave (sanj) and staircase (stair) sig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:758623
    • 提供者:
  1. dds_generater

    0下载:
  2. 波形发生器,可以生成正弦波、三角波、方波、锯齿波;可以选择输出频率和幅度,基于DDS设计,verilog和QuartusII开发-Waveform generator can generate sine, triangle, square wave, sawtooth wave you can the output frequency and amplitude, DDS-based design, verilog and development QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5154290
    • 提供者:zhang
  1. FPGA_WAVE

    0下载:
  2. FPGA VERILOG产生任意波形的信号-wave fpga verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:5119562
    • 提供者:china
  1. Lab308-EPWMtry1

    0下载:
  2. DSP实验用的程序,主要的程序,用于发生PWM波形,进行寄存器的基本的配置,并发出脉冲波-DSP program used in the experiment, the main program, used for PWM waveform, basic configuration of the register, and issue a pulse wave
  3. 所属分类:DSP program

    • 发布日期:2017-05-04
    • 文件大小:366887
    • 提供者:尹少波
  1. DAC

    0下载:
  2. STM32F407信号发生器输入一个正弦波,AD采样,DA输出,系统频率应该是输入的波形的频率的10倍以上保证输出波形的完整性,系统的输出频率可以使用示波器测试出。-Stm32f407 signal generator input a sine wave, AD sampling, Da output and system frequency should is 10 times the frequency of the input waveform to guarantee that the
  3. 所属分类:SCM

  1. waveform-generator

    0下载:
  2. 单片机课程设计:波形发生器。使用ADDA芯片PCF8591 1.可产生锯齿波、三角波、方波; 2.通过三个按键选择输出的波形,可选择不同幅值和频率; 3.需要在仿真软件上绘制设计电路图,并仿真实现 4.使用开发板设计实现,可外接示波器观察结果 -SCM curriculum design: a waveform generator. Chip PCF8591 1. Use ADDA generates sawtooth, triangle, square wave 2. S
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:109645
    • 提供者:邵金土
  1. bo

    0下载:
  2. 使用msp430f149单片机通过查询sin表实现产生正弦波。频率较低,波形相对较稳定。-Use msp430f149 SCM query generates a sine wave sin table to achieve. Lower frequencies, the waveform is relatively stable.
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:50570
    • 提供者:李坤
  1. zx3016_shiboqi

    0下载:
  2. vhdl语言编写的示波器,能够显示三角波,方波,锯齿波等波形,能够切换波形-vhdl language oscilloscope can display a triangular wave, square wave, sawtooth waveform, capable of switching waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1543761
    • 提供者:朱翔
  1. zx3016_generator

    0下载:
  2. 示波器文件,能够产生方波、正弦波、方波,且能够切换波形,并能够切换波形的周期-Cycle oscilloscope file, can produce a square wave, sine wave, square wave, and is capable of switching waveforms, and capable of switching waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-20
    • 文件大小:30614528
    • 提供者:朱翔
  1. verilog-sin

    0下载:
  2. FPGA开发sin波形,用verilog写的正弦波发生器。-FPGA development sin wave with verilog write sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:6964
    • 提供者:蒋壮
  1. lab24-PWM

    0下载:
  2. 这个程序是利用DSP产生PWM波形的源程序,里面的历程也比较全,适合初学者,也有相当的注释,适合版本是CCS3.3以上。-The software is suitable for the version of which is over CCS 3.3 .It is used to generate for the wave of PWM.
  3. 所属分类:DSP program

    • 发布日期:2017-05-05
    • 文件大小:239102
    • 提供者:肖奔奔
  1. DDS

    4下载:
  2. 信号发生器设计 信号发生器由波形选择开关控制波形的输出, 分别能输出正弦波、方波和三角波三种波形, 波形的周期为2秒(由40M有源晶振分频控制)。考虑程序的容量,每种波形在一个周期内均取16个取样点,每个样点数据是8位(数值范围:00000000~11111111)。要求将D/A变换前的8位二进制数据(以十进制方式)输出到数码管动态演示出来。-Signal generator design The signal generator is controlled by waveform se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8041746
    • 提供者:韩大马
  1. volume

    1下载:
  2. 这是DSP基础声波音频程序volume。通过读取外部文件sin.dat,可以在CCS中显示出文件中的波形,本例为正弦波。-This is the DSP based sound wave audio program volume. By reading the external file sin.dat, you can display the waveform in the file in CCS. This example is a sine wave.
  3. 所属分类:DSP program

    • 发布日期:2017-04-12
    • 文件大小:1251
    • 提供者:张旗
  1. sp6ex30

    0下载:
  2. 根据外部控制,产生不同的波形,正弦波、三角波、方波-According to external control, produce different waveforms, sine wave, triangular wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:17240217
    • 提供者:杨晓
« 1 2 ... 24 25 26 27 28 2930 31 »
搜珍网 www.dssz.com