CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 测试

搜索资源列表

  1. AT91RM9200-BasicMmu

    0下载:
  2. 基于ATMEL AT91RM9200 QFP208的测试源代码,由汇编和C构成,主要用于测试启动时对Flash的读写和相关寄存器设置-ATMEL AT91RM9200 QFP208 based on the test source code, by compiling and C constitute a major test for the commencement of Flash read and write register settings
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:332410
    • 提供者:刘栋
  1. PS2keyboard

    0下载:
  2. 键盘驱动程序: 键盘型号:P/S2 此程序已经测试过。-keyboard : Keyboard Model : P/S2 this procedure has been tested.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1062
    • 提供者:李瑞峰
  1. temp3

    0下载:
  2. 温度传感器的单片机处理显示程序,已通过测试。-temperature sensor showed MCU handling procedures have been tested.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2987
    • 提供者:李瑞峰
  1. TestDelay

    0下载:
  2. 一种简单测试C51延时的方法,请用单步执行,注意观察定时器寄存器。-C51 a simple test of time, please use the single-step, to observe the timer register.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8230
    • 提供者:何俊钢
  1. flashtest

    0下载:
  2. 一个测试凌阳61板中FLASH的程序,用汇报和C混合编写的-a test Sunplus 61 plate FLASH the procedures used to report and the preparation of the hybrid C
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:5443
    • 提供者:郭盖
  1. LCD_DEMO-1

    0下载:
  2. lcddemo-1(2004版) -- 320X240 STN 灰度LCD 测试 此代码在SDT2.51下调试通过。运行时LED会闪烁一下,接着串口0会输出一些系统信息,在波特率为57600超级终端可看到,打印出来的由ASCII字符组成的 FS44B0X图样,此时LCD上显示ascii字符组成的ARM图样。通过串口终端与系统交互,可以测试320X240 STN LCD,包括图形显示函数,ascii字符显示函数。 -lcddemo-1 (2004 version) -- 320x240
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:139291
    • 提供者:张淼林
  1. S3C44B0X例子程序2

    0下载:
  2. 1. beep -- PWM测试 为 ADS1.2 下编译的项目, 在sdt2.51的ADW里调试,该程序运行后在57600的超级终端里按PC机键盘的“+”“-” 按键,可以听到BUZZ增大减小的声音。 2. key -- 按键测试 为 SDT2.51 下编译的项目, 在sdt2.51的ADW里调试,该程序运行后按FS44B0X上的按键key1 key2 key3 key4 相应的发光二极管亮 3 keyint -- 按键中断测试 该项目在SDT2.51下编
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:507339
    • 提供者:张淼林
  1. S3C44B0X例子程序1

    0下载:
  2. 5 ledblink -- 跑马灯程序 该项目在SDT2.51下编译调试。最简单的demo程序,运行后3个发光二极管轮流点亮。 6 timerint -- 定时器中断demo程序 该项目在SDT2.51下编译调试。将子目录timerint拷贝到c:\\ 下。 该demo程序的主程序是while空循环,定时器中断服务程序每500ms将3个LED反相。 在57600超级终端里也可以看到中断发生时的信息。 7 uart -- 串口测试程序 该项目在SDT2.5
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:249813
    • 提供者:张淼林
  1. f2407

    1下载:
  2. 这是我做的一个项目的DSP控制器软件的源代码,已经经过现场测试。-This is what I do a project controller of DSP software's source code, after field testing.
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:10406
    • 提供者:王景国
  1. P456

    0下载:
  2. 用W78系列的CPU制作智能排线导通性测试治具,LED显示结果。-W78 series with the CPU Smart Pai wire-guided with the universal testing rule, LED display results.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1066
    • 提供者:这也要
  1. mydemo1

    0下载:
  2. 三星arm s3c4510 evm 板测试程序 本人以在开发板上测试过了 包括lcd,timer,isr器件的测试程序-Samsung arm Porting EVM board test procedures to the development of my board tested, including lc d, timer, ISR device testing procedures
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:12131
    • 提供者:李勇
  1. mmc_test_new

    0下载:
  2. 采用TMS320VC5509实现对MMC卡的读写的测试程序,利用了5509内的MMC模块,通过MCBSP口实现-used to achieve TMS320VC5509 MMC card reader testing procedures, use of the 5509 MMC modules, I realize MCBSP
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:19111
    • 提供者:潘枫
  1. 驱动程序和源代码

    0下载:
  2. 本程序是对ARM9的嵌入式系统开发的驱动程序的代码,它的编译环境是交叉编译的GCC,测试通过的代码,里面包含有网络,外围设备等的驱动。内容丰富,很值得一看的。-procedures for the ARM9 embedded system development driver code, it is the cross-compiler environment of the GCC compiler, test the code, which contains network, peripher
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:1345692
    • 提供者:谭航
  1. 82c55test

    0下载:
  2. 用89c51测试82c55接口芯片的程序流程图、源程序、电路图-with 89c51 82c55 interface chip testing procedures flowchart source, circuit
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:200248
    • 提供者:殷南
  1. test82c53

    1下载:
  2. 用89c51测试82c53定时/计数器IC的电路和程序流程图.-with 89c51 test 82c53 timer / counter circuit and IC process flowchart.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:11897
    • 提供者:殷南
  1. 加法

    0下载:
  2. 测试向量波形产生:VHDL实例---加法器源程序 -test vector Waveform Generator : VHDL example -- Adder source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2420
    • 提供者:张洪
  1. analog_test

    0下载:
  2. 这是ARM的一个例子,是模拟测试的源码,相信对你有用-This is an example of that is the source simulation tests, I believe useful to you
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:19757
    • 提供者:郑 刚
  1. IPCORE

    0下载:
  2. 最简单的八位单片机8051的源代码,支持MCS51的汇编语言,可综合,VHDL语言描述,有测试环境-most simple eight SCM 8051 source code, a compilation support MCS51 language, integrated, VHDL descr iption of a test environment
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:137935
    • 提供者:许盛
  1. exemple_screen

    0下载:
  2. 基于89c51的led屏幕测试程序,红、黄、蓝三基色及其组合的测试-led 89c51 screen test procedures, red, yellow and blue three-color combinations to the test
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1841
    • 提供者:吴京蓬
  1. LINUX-DSCUD59.tar

    0下载:
  2. Universal Driver 嵌入LINUX源码. 我已经测试过,全部能用-Universal Driver embedded Linux source code. I have tested, all can use
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:292787
    • 提供者:不告诉
« 1 2 ... 18 19 20 21 22 2324 25 26 27 28 ... 50 »
搜珍网 www.dssz.com