CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 测试

搜索资源列表

  1. 24cXXX

    0下载:
  2. 程序是用52单片机对24C64进行读写操作,已经过测试,欢迎大家一起探讨.-procedures is 52 24C64 microcontroller to write, has been tested and you are welcome to explore.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2288
    • 提供者:徐海滨
  1. 1820.doc

    0下载:
  2. 程序是用单片机对温度传感器DS1820进行操作,已经过测试,欢迎站长审批.-procedure is used MCU DS1820 temperature sensor to operate, has been tested and approved welcome station.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4271
    • 提供者:徐海滨
  1. XXXXXi2c

    0下载:
  2. 程序是用单片机对I2C进行读写字节的操作,已经过测试,欢迎大家一起探讨.-procedure is used to SCM I2C byte write, the operation has been tested and you are welcome to explore.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1116
    • 提供者:徐海滨
  1. 88_arms_counter

    0下载:
  2. vhdl源程序,可在quartus中编辑测试,仿真。-VHDL source code can be edited in Quartus test, simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5607
    • 提供者:tom
  1. gcmajfla

    0下载:
  2. 光纤测试程序,在wave环境下仿真,已经过测试。-fiber testing procedures, the wave simulation environment, has been tested.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1851
    • 提供者:tom
  1. 68_alarm_controller

    0下载:
  2. vhdl源程序,在quartus环境下测试,仿真。已经过测试。-VHDL source, the Quartus environment testing, simulation. Has been tested.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3455
    • 提供者:tom
  1. 78_alu_input

    0下载:
  2. vhdl源程序,在quartus环境下测试,仿真。已经过测试。-VHDL source, the Quartus environment testing, simulation. Has been tested.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2239
    • 提供者:tom
  1. ha7279

    0下载:
  2. 这是一个ha7279键盘显示的C语言源程序,已通过测试。欢迎大家下载。-ha7279 This is a keyboard and display the C language source code has been tested. You are welcome to download.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:98767
    • 提供者:曾红兵
  1. DA0832

    0下载:
  2. 这是一个DA0832数模转换的C语言源程序,已通过测试。欢迎大家下载。-This is a several-analog converter DA0832 the C language source code has been tested. You are welcome to download.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:18185
    • 提供者:曾红兵
  1. xxxyejing

    0下载:
  2. 用5252单片机驱动LCD12864,程序已经过测试,请站长审批.-with 5252 Microprocessor LCD12864, procedures have been tested, please head approval.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4127
    • 提供者:徐海滨
  1. qweqdqwdqdqdqd

    0下载:
  2. 键盘测试的源文件 是一个简单的C语言程序,在KEIL C中已经成功仿真了-keyboard test the source document is a simple C language program in KEIL C has successfully simulated
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2156
    • 提供者:xhw
  1. Test_Font

    0下载:
  2. 测试指定GBK码或者UNICODE码是否存在系统字库中,也可测试所有自造字EUDC.TTC-designated test code or whether there UNICODE code library system, can also test all self-defined EUDC.TTC
  3. 所属分类:Windows CE

    • 发布日期:2008-10-13
    • 文件大小:49813
    • 提供者:欧文
  1. MOTOROLA24c02

    0下载:
  2. 24c02 摩托罗拉8为单片机c语言读写程序 单片机为gt8,晶振9.8304MHz 已经测试过,可放心使用-24c02 Motorola MCU c eight languages to read and write procedures for gt8 SCM, crystal has already tested 9.8304MHz, can be assured use
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1696
    • 提供者:孙晓帆
  1. ceshixiangliang

    0下载:
  2. vhdl 测试向量含测试向量(Test Bench)和波形产生:VHDL实例---相应加法器的测试向量(test bench).txt-VHDL test vector containing test vector (Test Bench) and Waveform Generator : VHDL examples --- corresponding Adder test vector (test bench). Txt
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:11173
    • 提供者:陈丽
  1. fengcpp1

    0下载:
  2. 驱动LCD,在avr mega48(价格6元人民币) 测试通过,虽然小,可是 C++ 的,-LCD driver in avr mega48 (price six yuan), the test, although small, C,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8869
    • 提供者:voicevon
  1. generic_fifos

    0下载:
  2. 用HDL语言编写的通用fifo源码,通过对fifo的宽度和深度进行配置,可以产生我们所需要的fifo,还包括fifo的测试程序和仿真Makefile脚本-with HDL prepared by the General fifo source, fifo of the breadth and depth configuration, can produce what we need fifo. also included fifo testing procedures and simulatio
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:26405
    • 提供者:崔崔
  1. fifo_VHDL

    0下载:
  2. 该文件是先入先出fifo的源代码和测试文件-the document is first-in-first out fifo the source code and test document
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7592
    • 提供者:王立华
  1. RAM_VHDL

    0下载:
  2. 该文件时RAM的源文件和测试文件以及仿真文件-the document RAM source document and test papers and documents Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13770
    • 提供者:王立华
  1. EW8051-EV-720C

    0下载:
  2. IAR 8051 7.20C 的测试版,然后再传破解程序,破解程序不打包,因为破解可以破解最新的所有IAR的程序(2006-3)-IAR 8051 7.20C beta, and then sent the break procedures, procedures packing crack, crack can solve the problem because of all the latest IAR procedures (2006-3)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:49039347
    • 提供者:真名
  1. hanbaosram

    0下载:
  2. 德国汉堡大学的SRAM测试代码,使用VHDL编写,供大家参考-University of Hamburg, Germany, SRAM test code, the use of VHDL, for your reference
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5943
    • 提供者:汪涌
« 1 2 ... 20 21 22 23 24 2526 27 28 29 30 ... 50 »
搜珍网 www.dssz.com